【酒屋の息子】MacのCPUがARMに Part41【隔離施設】
!extend:checked:vvvvv:1000:512
!extend:checked:vvvvv:1000:512
新スレ立ての際は、本文の先頭に上記コマンドが3行になるようにコピペしてから投稿してください。
(スレ立て時に1行消費されます。)
次スレは>>980が建てて下さい。
このスレは10年以上もApple関連スレを粘着し続けている荒らし、通称「酒屋の息子」を隔離する目的で運用されています。
真面目な議論をしたい方は、各個別機種スレにてお願いします。酒屋は絶対いるのです。
前スレ
【酒屋の息子】MacのCPUがARMに Part40【隔離施設】
https://egg.5ch.net/test/read.cgi/mac/1664952864/
VIPQ2_EXTDAT: checked:vvvvv:1000:512:: EXT was configured おつおつ。
しかし、App StoreアプリにXcode 13以降でのbuildを強要とか、移行させるのにあらゆる手段を取るよな>Apple Microsoft、Windows 12と自社開発ARM SoCでAppleに対抗か
https://iphone-mania.jp/news-534890/
OSシェア競争、ブラウザシェア競争
そしてAIシェア競争になりそうだな。
しかしどこもopenソースだから競争にならない気もする😄 >>3
Qualcommの元nuvia連中が作っているのが、ご不満な性能とみた。
MSくらいなら、チラ見させて貰っているだろう。 酒屋の息子もWindows信者だからこれからは
ARMを叩けないから発狂しそう AppleはXcodeのバージョンを強的に上げさせることでARM対応を自動的に推進させようとしているんだよ。
ARM版Windowsは開発ツールが古すぎてx86エミュでしか対応できないレガシーアプリがたくさん。 MSはビジョンがなくて対抗と撤退を繰り返すから土挫も大変だわw https://pc.watch.impress.co.jp/docs/news/1498603.html
Zen 4+RDNA 3採用でAIエンジンも搭載する「Ryzen 7040U」
>Application Performanceについては、Apple M2と比べても105~175%の結果となっており、高速だとしている。
ほうと思って見てみたが、8C16Tのパワーで押してるだけだな。
https://pc.watch.impress.co.jp/img/pcw/docs/1498/603/html/06_o.jpg.html
無印としか比較しないのが姑息w。 価格帯やTDP的には無印の対抗なんだから別におかしくはないだろ
proと競合するのは末尾Hのモデルな 3D Renderingってなんなんだろう。
CPUレンダリングでは、説明が付かない体たらくだし、GPUレンダリングだとしたら、ここまでRDNA3 12CUってショボいのか。 各ファウンダリの現状のまとめ記事。
https://pc.watch.impress.co.jp/docs/column/tidbit/1498583.html
3nm世代の幕開け(のちょっと前)
TSMCも苦戦しているけど、Samsungは、GAAに移行した分もっと大変。Intelは順当に周回遅れと。
なんでこれでIntel大逆転なんて信じられるのか…。
この生産量だと、N3BはiPhone15の上位機種だけの採用になりそうな。 https://www.tomshardware.com/news/apple-testing-mp3-pro-chips
Report: Apple Testing M3 Pro Chips With 12 CPU and 18 GPU Cores
まあた、Mark Gurmann ネタ。
本当にこの仕様で出すなら相当高価になりそう。 1 名前:番組の途中ですがアフィサイトへの転載は禁止です (ワッチョイW a3dd-Ls/g)[] 投稿日:2023/05/17(水) 05:31:20.41 ID:Ci386pXq0 [1/5] ?PLT(12015)
http://img.5ch.net/ico/folder1_03.gif
Windows、米国で急速にシェアを落とす、市場シェアは歴史的低水準の57%に低下
https://www.gizmochina.com/2023/03/07/windows-losing-market-share-us-historic-low-57/
マイクロソフトのWindowsは、デル、HP、レノボといった多くのPC製造パートナーから広く提供されているため、デスクトップOSの分野では常に世界的に優位に立ってきました。しかし、最近の傾向として、米国ではmacOSやChromeOSの台頭によりWindowsが急速に人気を失っていることが分かっています。
このデータはStatcounter by GlobalStatsによるもので、2023年2月のアメリカ合衆国におけるデスクトップOS市場シェアは、Windowsが57.37%という歴史的低水準に落ち込み、過去最高だった2009年1月の92.37%からは大きくかけ離れていることがわかります。
そして、トレンドラインは減速する気配を見せず、2023年を通してWindowsは同国でさらにシェアを落とすと予想されています。
Windowsのシェアが急速に低下しているのは、アップルのmacOSやグーグルのChrome OSといった競合製品との厳しい競争に起因しています。
2023年2月時点で米国におけるデスクトップOS市場は、
Windowsが57.37%、
macOSが29.62%、
Chrome OSが7.47%
Linuxは2.55%、
その他デスクトップOSは2.97%でした。 Apple「(2020年6月のWWDC20時点で)MacのARM移行は2年以内で完了させるからぁ!できらぁ!(迫真)」
↓ 現実
https://i.imgur.com/ExbevE0.jpg
🤣 ブルームバーグ: 米アップルのMAC出荷台数は1-3月(第1四半期)に40.5%減少
> 全PCメーカーの合計出荷台数は29%減
> (レノボとデルは30%超の減少、HPは24.2%減)
> 米アップルのパソコン(PC)出荷台数は40.5%減 AR/VRデバイスです!ドーン
発売は11月で廉価モデルで40万円!上位Proモデルは60万円です!
ハードよりもずっと、最も重要なアプリコンテンツは
アプリ開発者次第なので、よろしくね🙃 メタバース事業、9割が事業化に“失敗”
https://www.itmedia.co.jp/news/articles/2305/29/news074.html
米メタ、新たに1万人を削減 業績不振で昨年11月に続き
https://nordot.app/1008377589426962432
今さらオワコンAR/VRに投資して
今さら後追いで製品化する😂Appleと
とっくにそれを見限ってAIにシフトしたMSとNvidiaで
明暗は分かれそうだな https://japan.zdnet.com/article/35204551/
AI関連の動きを静観するアップル--WWDCでの動向に注目集まる
長い記事だが要点
>Appleは多くの場合、新興テクノロジーの成り行きを見定めるというアプローチを採っており、このアプローチは同社にしばしば利をもたらしている。
>例えば「iPad」は史上初のタブレットというわけではないが、米CNETの編集者を含む多くの人々は最高のタブレットだと評価している。
iPodも最初のMP3プレイヤーではなかったし。
スマートスピーカーやSTBみたいに今ひとつのものもある。
生成型AIがどっちのパターンになるのかは未知数だな。
ただ言えるのは、お金持ちのAppleが、研究自体をしていないと言うことだけは絶対にない。 >>24
俺はマカーをやめて随分経つが、Appleが研究開発の手をこまねいている、なんてことは考えられない >>18
Mac proはもうディスコンでいいよ。
金にならないだろ。 COMPUTEX TAIPEI 2023でArmが語った高性能プロセッサの未来&TCS 23 Deep Dive
https://news.mynavi.jp/techplus/article/20230601-2692636/ >>27
よく製造コストばっかり言われるけど設計コストも増えるんだ
トランジスタ数増えるからそりゃそうか
メーカーならスケール的に製造コストの方が遥かに大きくても設計のみのARM社は大変だな VRARグラスは、金満Appleのいつもの道楽だねえ。スペックはM2まで載せて盛り盛りだけど誰が付き合うんだか。
studioのアップデートは、順当of順当。これは爆売れはしないものの、普通に重要あるだろう。
Mac Proも一体誰が買うんだってスペックだな。 >>29
>やっと来た
PCIスロット何に使うのかなぁ・・
使えるカードは、これとこれ、ぐらいの解説は欲しい
(いやもちろん、Mac proなんかは買いません) 米国は2024年上半期
米国以外は2024年末までに発売予定
その時点でM2とかゴミだな macOS SonomaがIntel対応の最後のOSになるんかなぁ ARM Macで「バッテリーで10時間以上問題なく使えます!それができないPCはゴミです!」
とアピールして売ってるくせに
公称最大2時間で、重い処理すると1時間強がせいぜいの
ゴミみたいなデバイスを「新たなコンピュータ」と謳って売る
ダブルスタンダード AMDのRYZENとかが載ったゲーミングノートの事か? Apple「ラップトップPCでも、充電ケーブルに接続できる環境で机で使用するシーンが大半なので、VisionProも常時有線接続前提で新たなコンピュータとして問題なく使えます」
iPhone用SoCの手抜き使い回し流用で
ワッパと省電力性紙から取り柄が無い
ARM Mabdcbook
WinPCに対する数少ない優位点を否定することにしかならない IntelのCPUの構成がARMやAppleシリコンと同じになりつつある件 >>38
M⚪︎UltraはAMDやIntelの設計の後追いパクりらだけどな 最早ARMモドキでやってかないとダメ
https://ja.wikipedia.org/wiki/Raptor_Lakeマイクロプロセッサ
高性能コア(Pコア)と高効率コア(Eコア)を利用したハイブリッドアーキテクチャ(ヘテロジニアスマルチコア)に基づくプロセッサ である。 >>41
そう
ARM発案のbig.Littleアーキテクチャを
Appleはパクって使ってるだけだから
あとARM ISAを金払って使ってる AppleとARMはニュートンの頃から繋がってるよね
Intel終わってる AppleはNewton MessagePadと共にArmを捨てたから繋がってはいないな
つーかIntelはXScaleっつーArmアーキテクチャのCPU作ってたしな
XScaleやめなかったら今の世界はどーなっていたんだろうとは思う 携帯電話用SoCはARMが席巻していて
iPhoneも最初の方もサムスン製のARM SoCを使ってたから
手っ取り早くARM SoC開発してる会社を買収して
内製化しただけ XScaleの頃ってまだiPhoneとか世に出てないぞ XScaleは当時としては速かったんだよな。
DellのPDAを今のスマホみたいにして使ってたわ。
PDAって製品自体が終わってしまったから、iPhone出たら飛びついた記憶。 米Appleが6月5日(現地時間)に発表した「Mac Pro(2023)」。PCI Expressカードの増設に関し、さまざまな仕様が判明している。Intelプロセッサを搭載したMac Pro(2019)用の「GPU MPX Module」などに加え、GPU PCIeカードをサポートしないという。
Mac Pro(2019)は、1つのMPXモジュールに対し最大500Wの電力、2つの8ピンコネクタ経由で最大300Wの補助電源が利用できたが、Mac Pro(2023)は、75Wの電力を供給する6ピンコネクタ×2、150Wの電力を供給する8ピンコネクタ ×1で合計300Wの補助電源が利用可能となっており、そもそもの供給電力が足りないという。
また、Mac Pro(2023)に対応させるには、Appleシリコン搭載Mac用ドライバが必要となる。
Appleが利用可能と紹介したPCIeカードは以下の通り。
• Sonnet Technologies Fusion Dual U.2 SSD PCIeカード
• Other World Computing Accelsior 8M2 ・AVD Pro Tools | HDX PCIe カード
• AJA KONA 5
• Blackmagic Design DeckLink 8K Pro
• Lynx Studio E44 PCI Express Card
• ATTO FastFrame N412 Integrated SFP28 Optical Interface
• ATTO Celerity FC-324P メモリも最大192GBまてしか積めず
1.5TBまで拡張可能だったIntelMacProと比べて
ワークステーション・サーバとしては著しくゴミという評価 GPU非対応はともかく、MPX規格放棄はどうかと思う。 >>8
それめちゃくちゃ非力なモデルだよ
今のモバイル用のハイエンドRyzenはMacBookじゃ完全に勝負にならないほど先を行ってる >>53
45WのだとdGPUありきだから、別カテゴリだと思うけど。DTRと比較はなんかちがう。 AMDがMI300Xを発表したけど、HBM3を192GB載せてたのが皮肉だなあ。 AIアクセラレータなら必要なメモリ容量も限定されるから妥当でしかない
そもそも実態はGPUだしな
> nstinct MI300Xは従来のInstinct MI200シリーズ・アクセラレータの後継となるデータセンター向けのGPUで
> 従来のMI200シリーズで採用されていたGPUアーキテクチャとなるCDNA 2の後継となるCDNA 3を採用している。
ワークステーション・サーバ用CPU・GPU共用で
LPDDR5で最大192GBのゴミとはワケが違う >>56
ちげーよ。
Ultraの最大容量と同じサイズだってのが皮肉だって話。
認知もおかしいが会話能力もおかしいんだな。 APUとセットのMI300だと128GBなのな。
もはやCPUはお荷物な世界…。 だからnvidia株が暴騰中、関連銘柄としてマクニカも爆上げ クソワロタ。
https://pc.watch.impress.co.jp/docs/news/1508871.html
Intel、新ブランド「Core Ultra」発表。“i”表記は廃止
意識する相手が違うんじゃないか?! Ultraとかproのネーミングも
中華メーカーが最初にやり出して
Appleが後からパクっただけだし 中華スマホを後追いでパクるAppleカッケーwww🤣 Ultra SCSIとかGravis UltraSoundとかもあったんだけどな。
中華が発祥かあwww。 >>62
中国のSoCってそもそもどれ?kirinにそんな枝番付いてた? NVIDIA、『GeForce 8800 Ultra』を発表(2007年5月2日)
https://ascii.jp/elem/000/000/032/32636/
低脳マカー「Appleシリコンのパクリだ!模倣だ!」
🤣 >>70
SCSIとかサウンドボードの例を出された後に出して来るマヌケ感なんとかならなかったのかwww。 3Dデザイナーのイアン・ゼルボ氏は、カスタムビルドPCを使って普段3Dレンダリングやグラフィック作業を行っていますが、WWDC23で発表されたAppleシリコン搭載Mac Proを買うつもりはない、と述べています。
同氏ががっかりした点の一つは、噂されていたM2 Ultraの2倍の演算能力を持つ「M2 Extreme」は搭載されず、Mac Studioと同じM2 Ultraチップを採用するにとどまったことだそうです。
Mac ProはMac Studioと異なり、アップグレード可能なストレージと、高速ストレージやネットワーク、音楽制作に必要な専用カードなどの追加に使える6つのPCI-Eスロットを備えているものの、アップグレード方法は十分とは言えない、とゼルボ氏は述べています。
AppleシリコンはARMアーキテクチャベースであることもあり、省電力なのが特徴ですが、デスクトップ機ではワットあたりの性能は何も意味しない、とゼルボ氏は辛辣な意見を述べています。
同氏のPCはRyzen 5900XとRTX 4090を搭載しており、GPUが最大450ワットという驚異的な消費電力で、最大700~800ワットで動作しますが、デスクトップ機であるためまったく問題はないとのことです。
RTX 4090と比較すると、M2 Ultra Mac ProのGPU性能は物足りないと感じるそうです。ゼルボ氏のPCはMac Proの2倍以上のワット数を使い、パフォーマンスを大幅に向上させる独自のレンダリング技術を使用しているため、同氏のワークフローは、Mac Proでこなすことはできないとのことです。 >>72
その人のポートフォーリオのサイトがこれだと思うんだけど、
https://zelbo.nyc
どんな複雑な3DCG作成してるんだろうと思ったら、拍子抜けもいいところだな。
この程度、4090である必要すら無いと思う。
Mac Proが向いているとまでは言わないけど、無理だとかまで言うのは勇み足。 https://pc.watch.impress.co.jp/docs/news/1511640.html
Snapdragon 8 Gen2向け4nmプロセスはQualcommとTSMC共同開発。その詳細が明らかに
Qualcommは保守的にいくようだね。
Gen2のN4改良型も、その次の世代もN3には行かないでN4の更なる改良型に止まるのだとか。 5nm系の最後は、N4Xなんだと思っていたら、まだまだ出すんだねえ。
よほど3nm系のコストが高いとみた。 アップルもコストを意識してるなら、N3Bを広く展開することはしないと思う
マックはA16ベースのM3でお茶を濁しながら、iPhoneも上位だけA17で下位はA16
そして廉価版のN3Eを待つ プロセス技術での性能向上は、まあわかるんだが、あとはアーキテクチャ的にどういう進化をさせるのかだな。 TSMC N3 EUVで増えたトランジスタ予算でコア数を増やす
あるいはコア数据え置きでクロック周波数を高くする
深層学習(推論)用の専用回路を追加する程度でしょ >>78
>追加する程度でしょ
それ以外の噂は、M2で失敗した・・との記事がでた
ハードウェアレイトレーシングの組み込みぐらい
(AIレイトレーシングになるのかな) 酒屋が発狂しそうだ
AI様、AI様、世界最高性能のCPUは何でしょうか
と訊いてみた
それはApple M2 Ultraです
とおっしゃられた GoatChatに訊いてみたら
現在、世界最高性能のCPUはIntelの「Core i9-11900K」とAMDの「Ryzen 9 5950X」です。
どちらも優れた処理速度と高性能を持っていますが、特定の用途や要件によって選択するCPUが異なる場合もあります。
ご質問の背景や用途によって、より具体的なアドバイスをすることができるかもしれません。何に使用されるのでしょうか?
だと
酒屋嬉ションw >>78
DNNをCPUでやるのは非効率だよ。まずない。AIと言っても深層学習と推論とで違うし、エッジAIは別物。
>>81
IntelのCPUが二世代も前なのが笑える。 酒屋の息子はTalkに移行したんだな 奇特なヒトだねw そうなのか
自分は自作WindowsPCとMac miniの2台体制で
普段は自作WindowsPC&JaneStyle使いだったから
JaneStyle捨ててSikiでこのスレ見てる
酒屋氏は変化に対応できない老人っぽかったからJaneStyleのままTalkに島流しされたのかもしれんね ちな例の島流しで流れた人は
proスレに現れたmac信者を貶そう教会の牧師、chatgptで1から100までappleスクリプト委託の人、
モントレスレで(勝手にやった)fastaid待てなくて強制終了させたバックアップ無しの男、
google検索のトップ記事丸パク知識人。
魑魅魍魎すぎひん? 暫く見ない間に新キャラ出てきたのか
まぁそれも酒屋だと思うけどね
特に「mac信者を貶そう教会の牧師」って奴が酒屋くさい 牧師もAI委託人も無し男も名前を継承させるほどじゃないな
5年前のクリスマス辺りが格安回線祭りで特異だったのもあるけど、
その時期のキチガイ1人にも及ばないかな。
牧師はとあるproユーザに粘着したら粘着返しされて弱る程だったし M3のためにA17が気になっていたがかなり性能抑えたな。
今回押してたGPUだがピーク性能20%UP。5コアから6コアにするだけで単純に20%UPになる。と言うことはHWレイトレに対応しただけでGPUコアの性能自体は上げずに消費電力減にしただけ?
来年も3nm使うだろうしその時のA18の性能UPの時に取っておいてるのかな。 シングルスレッド性能+10%だけで十分よ
あとはGeekbench結果待ちだな あとA17 Proの性能あればMac普通に動くのだから
iPhoneのTypeC端子を4Kモニタに接続したら120HzのmacOSモードで動くようにしてくれ
これなら最上位の1TBモデルを買う理由が出来る 多分だがA17 ProでM1のCPUとGPUの性能に追いついたよな。またNPUとHWレイトレで追い抜いてる。
あと気になるのは「Pro」の名称変更。無印も出すつもりなんだろうか?
>>あとA17 Proの性能あればMac普通に動くのだから
MacBook無印復活を願っている人いるかな? そういやWindowsPhoneてモニタとキーボードつなげればPCみたいに使えたんだよな A17についてるならM3にもAV1デコーダーが付くのはほぼ確だからよかった やっぱり、Macに回せるほどN3Bの生産能力は高くなかったんだろうね。下位機種はA15だし。 N3Bが微妙なのかマイクロアーキテクチャが大して進歩してないのか
Aシリーズなら問題ないんだろうけど、M3系はarrow lakeやzen5、RTX50xxと競合することを考えると心許ないな N3Bは多重露光だから歩留まりが悪いのだと思う
https://pc.watch.impress.co.jp/docs/column/tidbit/1498583.html
>ただこのN3Bもかなり難産なプロセスであった。具体的にはEUV露光だけで25回、しかもダブルパターニングが必要となっていた。
>トランジスタ層はともかく配線層でもダブルパターニングが必要なほど「攻めていた」らしい。ただこの結果歩留まりはなかなか上がらなかった。
本命はN3Eだね
こっちはシングルパターニング
>N3E(Enhancement)は途中で方針を変更。EUV露光も19回に削減され、しかもシングルパターニングでも行ける
>この変更されたN3E、まだプロセスの開発段階でN3Bより高い歩留まりを実現した ただN3Eが使えるようになる頃にはライバルも次のプロセスが使えるようになっているだろうなあ。 やはりダブルパターニング以上は難ありだ
DUV時代もそうだった
限界まで多重露光を詰めた7nmは酷かったもの
多重露光に頼るのではなくGAAと高NAに期待 AppleのiPhone15 ProのページにA17 ProのGPUはiPhone12 Pro(A14 Bionic)のGPUより70%高速とのこと。
M1に追いついたかと思ったがもう少しだなぁ。 >>91
iPad miniやiPad無印、iPhone16無印やSE4用に
コスト&スペックを抑えた廉価品のA17が出るのは間違いない
特に過剰なGPUで周りのスペックは落とされて
ユニット/シェーダー数は減らされてレイトレコアは丸々削られるんちゃうか
廉価版N3EかN4 CPUの方は、プロセス技術で冒険している分、保守的に行ったんだろうなあ。
M3はCPUは微増でも、GPU周りの性能向上は大きそう。 スマホ(とかノート)でこれ以上CPU頑張ってもしょうがないからGPU重視ってのはわかる
バッテリー問題もあるからね
ただデスクトップではもっと攻めてほしい StudioとかProラインは、この程度のCPU性能向上は正直微妙だよね。
まあ今回はtick-tockのtikだからしょうがない。 M3はM1の100倍の性能になると言われてたが実際は3倍だった >>107
>M3はM1の100倍の性能になると言われてた
誰が言ってんだよ 俺の息子が言ったんだよ、ほら挨拶しな!
𓂸< コンニチワ!! >>110
お、おかえり。
中国語でわけわかんないから画像検索したけど、何のことはないバッテリーライフでの比較なのね。
まったく驚く要素が無いんだが、なにがゴミなんだい?
バッテリーと言えば、MeteorLakeが、とうとうARMみたいな、三層のコア構成になったな。これで、スリ−プ時のドレインがマシになるのかねえ。
前もdeepsleepでそんなこと言ってたけどダメだったっけ。 最新プロセスで製造してるのだからバッテリだけで1000時間使えてもいいと思うの >>112
Eコア内にさらに省電力なコアを内蔵するらしい。 15Pro買った人は涙目だな
俺の14Proでもバッテリーガンガン食うし、最大容量が88%になってしまったorz
あと一年もつか? >>114
違う
高性能なプロセスで作ったEコアと省電力なプロセスで作ったEコアがあるだけ Mシリーズの停滞に比べてintelは進化が早いですね。 腐ってもデカい専業メーカーだからな
FoverosやPowerViaみたいな先進的な技術を投入している部分は素直に評価すべき
プロセスルールの微細化だけでは限界が見えてるからパッケージング技術が重要になっているわけだが、appleはそのへんどうするつもりなんだろうね
TSMCに丸投げ? そもそもパッケージングは顧客の要求が多様なTSMCが先行してるんだわ
積層にInFO、インターポーザにCoWoS、埋め込みブリッジにCoWoS-Lと全部やっとる 世代ごとにシングルスレッド性能を5倍ずつ伸ばすと宣言したクックを信じろ https://browser.geekbench.com/ios-benchmarks
iPhone 15 Pro: Single 2878, Multi 7103
iPhone 14 Pro: Single 2526, Multi 6412
https://browser.geekbench.com/processor-benchmarks
Intel Core i9-13900KS: Single 3091, Multi: 21701 (最大ターボパワー253W)
AMD Ryzen 9 7950X3D: Single 2907, Multi: 19683 (PPT 162W)
モバイル向けのSoCでデスクトップPC並の性能あるのか
この性能をApplePay、LINE、Discord、Paypay、Googleマップ、ブラウザ専用機にするのは勿体ないな
外部モニタ接続時にmacOSが立ち上がるようにしろよクック >>123
passmark
Intel Core i9-13900KS: Single 4766, Multi: 61979
Apple A17 Pro: Single 4520 Multi 12168
ま、Passmarkもアーキテクチャー違ったら比較できないんだけど、
geekbenchはAppleに有利なスコアが出るんだよね。 AVXで割増スコアが出るpassmarkやcinebenchがx86有利なだけだよ
オープンソースの実アプリでコンパイラ揃えてベンチ取ればgeekbenchの方に近い結果が出る
もっともx86向け専用コードで最適化されてるアプリが多いことがx86 CPUの利点なのも確かだけどね >>125
SEが出るって噂があったが
ファンレスはAirが達成したからな M3クラスの汎用コアならメディアエンジンだとか本来なら不要にできるはずなんだよな
いっそGPUすら排除して全部Pコアだけで処理してしまえばいい SPEC CPU 2017の結果見てもgeekbenchほど僅差ではないな
でもA17 proが高性能なのは間違いないよ
テック系のフォーラムでも散々言われてるしanandtechのライターの人も言及していたけど、そもそもクロスプラットフォームで正確に比較すること自体が極めて困難
同じCPUでもwindowsとlinuxでベンチの結果が全然違うわけで
OSもコンパイラもなにもかも揃えて比較出来ればいいけど、現実的ではないわな マイクロアーキテクチャが殆ど変わらなかったのは3nm移行を踏まえた安全策なんだろうけど、今後開発チーム引き抜かれた影響がどこで出てくるかだな
プロセスルールの優位性があるうちはいいけど、プロセスの進化が詰まった時の切り札があるかどうか
intelやAMDは先を見越してMCMや積層なんかを頑張ってるが、エンスージアストやハイパワーユーザ向けの製品でappleがどういう答えを出してくるのか気になる 多分gdgdであまり進展はなさそう。
イノベーション厨に答えてあげたい側と盤石な環境を整えたい側で内部抗争でもあるんじゃないかと思う程だわ 次は高NAのEUV露光装置投入、GAAの採用と性能アップのための具体的なアプローチが見えてるから暫くは大丈夫じゃないかな
ただしA18 ProはTSMC N3Eになると思う(性能アップのためにA17 Proより大きめのダイに、つまりアッチッチ系になると予想してる)
TSMC N2になるのは2年後(2025年~) つまりA19 Pro世代(Apple M5?)
https://xtech.nikkei.com/atcl/nxt/column/18/01537/00387/ https://www.asml.com/ja-jp/technology
>ASMLでは、現在、開口数(NA)を0.55にまで引き上げた「High-NA」次世代EUVリソグラフィプラットホームの開発を進めています。
>このプラットホームでは、光学系の設計が一新され、ステージの移動速度も一段と速くなっています。
>このプラットホームにより、解像度並びに重ね合わせ精度が現行のEUVプラットホームに比べて70%向上するため、
>今後10年間以上に渡ってデバイスの微細化を継続できるようになります。
EUV露光装置製造のASMLによると今後10年は安泰らしい MicrosoftのLive発表で、M2 MaxとSurface studo 2のblenderベンチをやってたけど、少なくともnVIDIA 4050 dGPUが載ってるのと比較するのは大人げねえなあ。
あと、ベンチマーク系の前提条件とかわからんくしてスクショ貼るのが出ると酒屋が帰ってきたなあと実感するわwww。 待望の3nmチップは失敗作か?A17 Proに過熱や消費電力増大の疑惑
https://texal.jp/2023/09/25/is-the-long-awaited-3nm-chip-a-failure-a17-pro-suspected-of-overheating-and-increased-power-consumption/
どうやら新たなA17 Proの消費電力増大の影響により、バッテリー容量を増やしたにもかかわらず同程度のバッテリー持続時間しか確保できなかったという事が真相のようだ。
A17 Proの消費電力はA16 Bionicの2.71Wから3.68Wへと増加
結果としてはiPhone 15 Pro Maxは昨年のiPhone 14 Pro Maxよりもバッテリー性能が悪いようだ。
iPhone 14 Pro Maxのバッテリー持続が7時間55分だったのに対し、新しいiPhone 15 Pro Maxは7時間13分だったという。iPhone 14 ProとiPhone 15 Proの間でも同様の関係がある。 SPEC2017テストによれば、A17 Proの消費電力はA16 Bionicの2.71Wから3.68Wへと増加している事が明らかになった。これはPコアのみの結果ではあるが、実に4割弱もの消費電力の増大となっている。
iphone 15 test spec 2017
また、この消費電力の増大による発熱も問題で、SPEC2017の長期にわたるテストの結果では、A16 Bionicを内蔵するiPhone 14 Pro Maxではそのテスト期間にわたって大きく性能が低下することなく、常に最大性能に近いものを発揮していたものが、A17 Pro内蔵のiPhone 15 Pro Maxでは最初の数回のテストは最大性能で動作するものの、各テストの終盤では動作クロックと消費電力が低下するサーマルスロットリングが発生してしまう事が明らかになっている。
さらに、A17 ProのGPUコア数はA16の5コアから6コアに増加しているが、これによって性能は40%程度向上されているが、消費電力も約40%増加してしまっている。このため、電力効率や性能の面では、Snapdragon 8 Gen 2にも劣っているとGeekerwan氏は述べている。
より実践的なテストとして、Geekerwan氏は動画の再生時間をテストしたが、結果としてはiPhone 15 Pro Maxは昨年のiPhone 14 Pro Maxよりもバッテリー性能が悪いようだ。iPhone 14 Pro Maxのバッテリー持続が7時間55分だったのに対し、新しいiPhone 15 Pro Maxは7時間13分だったという。iPhone 14 ProとiPhone 15 Proの間でも同様の関係がある。iPhone 15 Proの駆動時間は6時間ちょうどだが、iPhone 14 Proは6時間46分だった。iPhone 15 Proは、iPhone 14 Proよりもわずかに大きなバッテリーを搭載しているが、それでもテストの結果は悪くなっているのだ。 これらのテストはすべて、Appleの新しいA17 ProチップセットがA16 Bionicよりも効率が悪いことを示していることと関連している。実際、iPhone 15 Plusは、A16 Bionicを搭載しているおかげか、バッテリー駆動時間が9時間17分と、このテストで最高のパフォーマンスを見せている。これは、39mAhの大容量バッテリーを搭載したiPhone 15 Pro Maxよりも2時間以上長い。
また、別のテストでは過熱の問題も指摘されている。Geekerwan氏によると、室温25℃、輝度300ニトの環境下で、“非常に高い”グラフィック設定で『原神』をプレイした場合、iPhone 15 Pro Maxの表面温度は48℃まで上昇した。同タイトルを30分間プレイした結果、iPhone 15 Pro Maxに搭載されたA17 Proは、平均フレームレート59.1FPS、消費電力4.13Wを記録した。同テストは、iPhone 14 Pro Maxで実行した場合、平均フレームレート56.5 FPS、消費電力は4.32Wだった。
つまり、AppleがA17 ProのGPU性能を強調しているにもかかわらず、iPhone 15 Pro Maxは平均FPSで約4.4%上回っているだけで、消費電力は4%少ない。これらの結果は、iPhone 15 Pro Maxが適切な熱管理が出来ずに本来の性能を発揮できないか、A17 ProがGPUコアを1つ増やしたA16 Bionicを少し調整したものであるという可能性か、あるいはその両方が原因であることを示している可能性がある。 お、大丈夫か?
url貼れてるけど書かなきゃいけない使命でも受信したか >>137
チップやパーツではなくMacとSurfaceという製品の比較なんだから大人げないとかいう批判は的外れだな
ユーザが購入するのは製品なんだし TSMC N3E < サムスン3GAA
https://www.ithome.com/0/721/710.htm
> A17 Proの発熱はTSMCの3nmプロセス「N3B」が
> FinFET(Fin Field-Effect Transistor)方式を採用していることと関係しており
> リーク電流を制御できていないことが発熱に繋がっていると推察
> 素性の悪さは、Samsungの5nmプロセスおよび4nmプロセスでも指摘されましたが、
> 3nmプロセスである「3GAA」に切り替えてから安定している >>144
3GAAの方が、構造的に優れているのは当然の類推なんだが、実際に製品レベルのものが出てないからなあ。
歩留まりもよーわからんし。 サムスンはスナドラ8Gen1でやらかしちゃったからね
信頼されてないのよ https://twitter.com/UniverseIce/status/1558988700578910208
>サムスンは今年上半期、ゴミの4nm Snapdragon 8でAndroidのフラッグシップ携帯電話を台無しにしましたが、
>最大の損失はSamsung S22シリーズ(大量販売でSnapdragon 8+バージョンなし)で、これを仏教では因果応報と呼びます。
>TSMC の 4nm Snapdragon 8+ を使用した Fold4 は、流暢性、アニメーション、温度制御、
>バッテリー寿命の点で S22 Ultra を上回り、カメラでも S22 Ultra と競合できます
うーん、やはりTSMC一択だ
https://twitter.com/thejimwatkins 実質、EUV化に成功したと言えるのはTSMCだけなのよ
Appleの大量受注を捌き切った実績、そして今後もAppleとの蜜月関係を続ける
最新プロセスは必ず最新iPhoneから使われ続けるだろう
そして競合他社はAppleの1年遅れでやっと使わせてもらえる
その頃にはTSMCの最新製造プロセスルールを使った最新iPhoneが…これのループ PassMark - CPU Mark
Single Thread Performance
Apple A17 Pro 4,548 自分が今使ってるWindows自作PCのCPUのPassMark CPU Mark Single Thread Performanceは
AMD Ryzen 5 5600X 3,359
iPhone 15 Proのほうが遥かに高性能なのな…
まじでデスクトップOSモードを実装してくれ
Mac買わなくて済むじゃん
Apple的にはMacもiPhoneもiPadも全部売りたいのは分かるけどさぁ >>149
A16が 4,114なので
A16から10%アップですね。
消費電力あたりに直したらマイナス? >>153
A16 11,132
A17 12,277
Multiでも同じく10%アップ 冗談だと思ったら本当にM2 Pro改をスマホに載せて来たよ
TSMCの最新プロセスの凄さよ >>155
?
M2 Proとはコア数が全然違うんだが。
iPhone 14 Pro より10% 性能が向上したチップになっただけ。
消費電力はそれ以上に食うっていう話もあるから、失敗作かもね。 TSMC N3がクソゴミうんこだったから仕方ないな
2年以上も量産化が遅延して難産だった挙句に
やっと出来上がったのがゴミ
プロセス開発で行き詰まった一時期のintelを彷彿とさせるな TSMC N3よりプロセス密度が優れる
Intel Intel 20A と18Aに完全にキャッチアップされてしまったな
https://i.imgur.com/CtxxPab.jpg プロセス密度
intel7(第三世代改良版10nm) ≒ サムスン6nm ≒TSMC N7 プロセス密度
intel3(第2世代改良版7nm) ≒ TSMC N3B > TSMC N4 >>148
TSMCは最先端プロセス開発も鈍化して
実プロセスあたりのプロセス密度が
Intelよりずっと低くサムスンにも追い付かれ
性能的な優位性はもはや無くなってしまった
Appleに採用されるのは
Appleに求められるままにAppleの需要に合わせて
工場を立てて供給できる過去実績を含めた供給力 「EUV化に成功した(ただしプロセス密度は高く無く超高コストで電力効率も低いゴミです)」
ユーザからしたらどうでもいいゴミが爆誕 連投と画像貼りと「低脳で頭悪すぎだろ」
本格的に帰ってきたんだなあwww。
Talkは寂しかったか? とりま、iPhone需要が一巡して、生産に余裕が出たら、比較的消費電力が上がっても問題ないstudioとかProラインだけM3を出すというのもあり得るかもね。
GPUの性能アップも生きるだろう。
MBAとかはN3Eが使えるようになったらでもいいし。正直、MBAでするような仕事はM2でも性能持て余してる。 Snapdragon 8+ Gen 3がSamsungの3GAAで生産するらしい。まあお手並み拝見だな。
しかし、4nmのSnapdragon 8 Gen 3も用意するあたりが怪しい。 transistor density (トランジスタ密度)をプロセス密度という新しい造語で表現する
頭悪すぎだろコイツなどの定番の言い回しをする
顔真っ赤にして連投
こいつぁ本物の酒屋の息子氏ですね
お久しぶりです 普通に飛ばして見てたから分からなかったけど、
あの連投が普通にパッパラパーだろうって言うのは間違いじゃないってか。
なんやねんプロセス密度って Sapphire RapidsはIntel 7で製造されてて、「トランジスタ密度」は27,500,000-30,000,000。
TSMC N7のRyzen 5800Hコアで、59,440,000。同じプロセス名でも後期生産と思われるSnapdragon 865で123,300,000。
N5のM1系やsnapdragonとかは、135,600,000あたりなんだけど…。
てか、SMICで作ったHiSilicon Kirin 9000sでも7nm世代の技術で、107,690,000。
こりゃゲルも危機感持つわ。 >>172
前後の文脈でトランジスタ密度の誤記であることくらい
アスペ文盲じゃなきゃ容易にわかる 誤記にしちゃ多いわな。
ただ単に造語癖のあるバカなだけでは? N7はいろいろと出てて記憶がゴッチャになってるなあ。
N7系はN6に至るまでかなり改善されてて、N7の後の方とN5の最初の方があまり差が出なかったっけ。 iPhone 15 Pro Max「Wildlife Extreme」でGalaxyに負けてしまう…
Samsung Galaxy S23 Ultra has a faster GPU than iPhone 15 Pro Max - SamMobile
https://www.sammobile.com/news/galaxy-s23-ultra-gpu-more-powerful-iphone-15-pro-max/
https://i.imgur.com/XmbOzYS.jpg iPhone15は冷却機構を軽くするためにケチったそうだからサマスロは多いな。
MBP以上はいいけどMBAもサマスロは相当かかりそう。 Apple M3 Mac miniはめちゃくちゃ名機になりそう
ファンレスじゃないからサーマルスロットリングとは無縁だし
劣化するバッテリーがないから長寿命だし
Apple M1 Mac mini(2020年購入)が現役だけど定期的に入れ替えたいので買うわ https://www.sammobile.com/news/the-power-of-galaxy-s23-backed-by-larger-vapor-chambers/
スマホ用のハイエンドSoCはどのみち負荷かければもれなく爆熱。
だから泥のスマホの高級機はこういう対策してるんだけど重くなるのを嫌ったAppleは載せなかった。
考え方としては13インチM2 MBAと同じだね。
同じファンレスでも15インチにして放熱性改善しただけでサマスロが減った。 https://www.sammobile.com/news/the-power-of-galaxy-s23-backed-by-larger-vapor-chambers/
スマホ用のハイエンドSoCはどのみち負荷かければもれなく爆熱。
だから泥のスマホの高級機はこういう対策してるんだけど重くなるのを嫌ったAppleは載せなかった。
考え方としては13インチM2 MBAと同じだね。
同じファンレスでも15インチにして放熱性改善しただけでサマスロが減った。 しかし一方で
https://gazlog.com/entry/galaxys24ultra-overheat-soc/
Galaxy S24 Ultraはコストダウンの一環でベイパーチェンバー非搭載?マルチコア性能は低下へ。
そんなところまでAppleのパクリをせんでもいいのにww。 しかし一方で
https://gazlog.com/entry/galaxys24ultra-overheat-soc/
Galaxy S24 Ultraはコストダウンの一環でベイパーチェンバー非搭載?マルチコア性能は低下へ。
そんなところまでAppleのパクリをせんでもいいのにww。 例年だと10~11月に新型Mac発表だから期待してるわ
Mac Studioほどの性能はいらない
Apple M3 Mac miniで十分だ
dGPU必要な作業はWindowsPC使うし でもM3のCPU性能10%程度アップってインパクトあるかい?
M1ですら通常使いには既に性能充分だったし、Apple Siliconの最大の利点はMediaEngineによる動画編集能力だと思う。
AV1対応が嬉しいシチュもまだそんなに無いし。 でもM3のCPU性能10%程度アップってインパクトあるかい?
M1ですら通常使いには既に性能充分だったし、Apple Siliconの最大の利点はMediaEngineによる動画編集能力だと思う。
AV1対応が嬉しいシチュもまだそんなに無いし。 サポート期間を考慮するとある程度の年数が経過したら買い替えたほうが良いのよ
サポート期間すぎると(オブソリート製品、製造終了から7年以上経過になると)OSアップデートの対象外になる
Apple M1 Mac miniは2020年の製品だから既に3年経過してる
自分は性能に不満がなくても3年毎に買い替えてる URLはるとBAN対象になるみたいなのでソースを貼ることは出来ないが
Apple M1 → Apple M3ならば
Apple A14 → Apple A17 と同等の性能ジャンプアップになる
つまり
Single性能は 2057(A14) → 2882(A17 Pro)
Multi性能は 4706(A14) → 7141(A17 Pro)
この規模の性能アップが期待できる
Apple M1はそれぞれ
Single 2349
Multi 8386
Apple M3になれば
Single 2349*(2882/2057)=3291 (1.40倍)
Multi 8386*(7141/4706)=12725 (1.51倍)
になると思われる Chromebookに対抗してAシリーズ載っけたMacBookが出るという噂があるけど
もしMac Mini版iが出たらNAS代わりに一台欲しい たしかにA17 Pro搭載のMac nanoとして発売してほしいよね プロセス刷新毎にトランジスタ爆増するのだから性能も倍々で増えて当然 Tensor G3の性能を見ると、スマホでのAI以外の素の演算性能向上はもういいやってGoogleの考えが透けて見えるね。
AppleはMシリーズへの流用が必須なので、要求は天井知らずだけど。 Tensor G3の性能を見ると、スマホでのAI以外の素の演算性能向上はもういいやってGoogleの考えが透けて見えるね。
AppleはMシリーズへの流用が必須なので、要求は天井知らずだけど。 Googleはスマホにも1024GB以上のメモリを要求してくるからな NUVIA製CPUは性能低すぎでsnapdragon 8 gen4はやっぱりARM IPになるという噂。
製造もSamsungのGAAのはずだったのにTSMCの N3Eになるとかgdgd。
ARMのIPもDimensity 9300でX4を4個も積んだら爆熱でリリース延期と高性能SoCは何かしらの問題を抱えてるな。 NUVIA製CPUは性能低すぎでsnapdragon 8 gen4はやっぱりARM IPになるという噂。
製造もSamsungのGAAのはずだったのにTSMCの N3Eになるとかgdgd。
ARMのIPもDimensity 9300でX4を4個も積んだら爆熱でリリース延期と高性能SoCは何かしらの問題を抱えてるな。 >製造もSamsungのGAAのはずだったのにTSMCの N3Eになるとかgdgd。
だいたい予想できたのでは?
サムスンの製造プロセスルールは質がよろしく無いからね
SD8Gen1のときからの伝統
一方のTSMC N3は膨大な出荷量のA17製造で一気に試行回数を稼げるから
歩留まりが最適になるパラメータを決定しやすい TSMC躍進の理由の大半が、Appleからの製造委託を受注し続けてきたことにある
iPhone用のSoC製造過程で得られたノウハウで歩留まりを上げ、それらを活用しPC向けのCPUやGPUを製造
PCユーザは間接的にiPhoneの恩恵を受けている(ARM MacもAMD CPUもNVIDIA GPUも)
一方のサムスンはいつぞやのiPhone SoC製造でAppleから見切りを付けられてしまった気がする
Apple A8 TSMC製造
Apple A9 サムスン&TSMC製造 ←この時のチャンスを活用できなかったサムスン…
Apple A10 Fusion TSMC製造
Apple A11 Bionic TSMC製造
(以下A17 ProまでTSMC製造) SamsungかTSMCかで「Apple A9」に性能差、台湾では国家機関がAppleに開示を求める
https://pc.watch.impress.co.jp/docs/news/yajiuma/725994.html
>Appleが想定する一般使用においては数%しか性能差がないが、3Dアプリケーションなどによる連続負荷下では、TSMC製の方がSamsung製より長いバッテリ駆動時間を示したという。
これが効いてるよね
ワッパが大事なモバイル向けSoC製造で、TSMC製造のSoCに差を付けられてしまった
これを機にAppleはTSMC製造オンリーに傾倒してしまった > PCユーザは間接的にiPhoneの恩恵を受けている
↑
チョーキモイ思考w サムスンがまともならTSMC一極集中になることはなかった
殿様商売(値上げラッシュ)も控えめになっていたはず
健全な競争がないと色々と不都合がでる
日本のラピダスが頑張ってくれることを期待したいが望みは薄い Tensor G3はSamsungの第三世代4nmじゃないらしい。
安さとか歩留まりの関係でそうなったんだろうけど性能を上げなかったんじゃなくて上げられなかったのかも。
Appleからの大量受注がなければTSMCの莫大なR&D費用は調達できなかったのは事実だと思うよ。
SamsungはNANDでの利益をブッこんでもファウンダリは実質赤字だ。 Samsungの3nm GAAは歩留まり50%以下らしくQualcommに採用を見送られた模様。
TSMCも2nmでは同じ苦しみを味わうんだろうけどなあ。
なんでIntelだけは大丈夫とか考えられるんだろうね。認知の歪みとしかw IntelのプロセスがTSMCよりも遅れてても●nm相当で誤魔化せると思ってるからだろ メルカリ最新取引履歴が送料込み86,000円(手数料を差っ引くと77,000円前後の手取り)の商品が
95,000円という超高額査定で買取店で売れた
恐ろしいな、この買い取り金額で営業利益でるのか?ってレベル
中古Aランクが11万3000円くらいで売りに出てるから粗利益はあるけど…
iPhone中古市場はいまが全盛期という噂は本当かもしれん
薄利多売が出来るのは高回転商品群だけ
そしてApple製品のリセールバリューの高さは
最新世代の価格が上がれば上がるほど、それに比例して伸びていくことが分かった
多少高くても2年使い込んだ中古が買値の65~70%で売れるなら問題ない >>206
なぜアップルがTSMCの最優先顧客なのかの理由よな 性能上げる前に円の価値を上げてくれ
Macを今の半額で買いたいのだ イスラエルの戦争は今後のapple siliconの設計に影響ないのかな? >>213
インテルですらイスラエルチーム解散したんだが。 Appleの地下では、捕まったユダヤ人たちが泣きながらシリコン基板を作る仕事をさせられている。
ユダヤ人たちの給料は1日1本のゲフィルテフィッシュだけ。
Appleの正社員は、ユダヤ人たちが逃げたりシャッバードしたりしないよういつも監視している。
恐怖心を植え付けるため、時々無意味にホロコーストを行ったりする
ユダヤ人のほとんどはキリスト教で、「パレスチナ、ガザを血で染めたいよぅ」といつも泣いている。
睡眠時間もほとんど与えられず、逆らうとカシュートを減らされる。
こうして人件費を大幅に抑えることで、かっぱ寿司は安くて美味しいお寿司をみなさんに提供できるのです。 年内に発表はもうないとか、10月30日にあるとか、出てくる予想がコロコロしすぎ TSMC N3の生産力がうんこだし高コストだから
年内に出るならA16ベースにしかならないしな なんかリークみたいな事するとお金もらえるのかな?
当てずっぽうでも良いみたいだし、私もやろうかな… >>221
戯言だろうが読んでもるえる拡散してもらえるプレゼンスが重要
お前みたいな無能で無価値で誰からも必要とされていない
下等なゴミ人間がやっても無駄
試しにやってみればいいよ
口だけの無能だからどうせやらないだろうが Apple M3はA17 ProベースでPコア2倍がいつものパターンだろ
リーク情報を頼る必要すらない
Apple M1時代からの伝統だからな >>224
低価値なゴミMacに高コストで稀少なN3BのA17proなんか使わんよ
近々に出るならA16ベースの多コア版だし
半年〜1年後に出るなら低コストなN3E使ったA17無印ベース Appleが日本時間で2023年10月31日の午前9時から、スペシャルオンラインイベント「Scary fast.」(速いもの見たさ。)を開催すると発表しています 来たか!
( ゚д゚) ガタッ
/ ヾ
__L| / ̄ ̄ ̄/_
\/ / https://pc.watch.impress.co.jp/docs/news/1541717.html
「Snapdragon X Elite」は第13世代Coreより2倍高速でApple M2よりマルチスレッドで50%高速なPC向けSoC
なんかイチイチ比較にひっかかる表現が多いんだよな。
12のPコアのみの構成なら、相手はM2では無いだろうし、実際1360Pなんかと比較してる。
GPUもM2との比較をなぜか避けてるし、どうせ相手にするのはM3世代なんだろうしな。
NPUだけは、最近、力を入れているから、おそらく良い性能なんだろうけど、Tensor G3と比較してどうなんだろう。 ちなみに直近にリリーズするSnapdragon 8cx Gen 4は別物で、これは産廃レベルのゴミ。
これを中止しないで、Snapdragon X Eliteを出すってことは、Snapdragon X Eliteが出てくるのは相当、後だって事だな。 >>231
出てくるのはMid-2024だし、いつものSnapdragonさんなのかなと。 >>232,231
産廃レベルでゴミなのは
無能で無価値で誰からも必要とされず相手にもされず
誰の役にも立たない生きてる意味ないお前の存在 5nmA16ベースハードレイトレ無しなら発表会やらずにプレスリリースだけで出すはず
発表会やるからには3nmA17ベースハードレイトレスーパー爆速マシンが来る!! Apple M3 Mac miniでも買うか
M3 Maxほどの性能はいらんのよね
マルチスレッド性能が必要な作業にはWindows自作PC使うし Macにはシングルスレッド性能の高さとワットパフォーマンスに期待したい Single性能を上げるだけなら、そんなに難しくないんだけど、消費電力とのトレードオフを解決するのが難しいんだよねえ。
Snapdragon X Eliteなんか2コアだけ4.3GHzに習慣的にboostして、それだけのシングルコア性能を叩き出してる。
Intelだって、デスクトップにP+E構成を導入したし、AMDも同じヘテロ構成を採用するつもりらしい。 >>238
iMacのデザイン変えるなら発表会やるよ M3がA16ベース(TSMC N4)と予想しているのは酒屋だけ
https://iphone-mania.jp/news-548236/
>■3行で分かる、この記事のポイント
>1. Appleが、TSMCにおける3nmプロセスでの半導体製造能力の1年分を確保した。
>2. A17 BionicとM3に加え、M3 ProやM3 Maxの製造予定も入っていると予想される。
>3. Appleの大量発注により、TSMCは不良品のコストを自己負担しても十分利益が確保できる。
>N3Bの歩留まり率は現時点で70%〜80%である N3BかN3Eかの違いくらいはあるかもね。
Snapdragon X Eliteは大容量キャッシュ搭載でベンチ番長なのかも。
よく見たら、プレゼンが、シングルはM2Maxで、マルチはM2無印と比較してるのがせせこましくて、じわるwww。 海外のフォーラム見てたら
「Qualcommの元NuviaのメンバーはAppleの出身だから、Appleのベンチマーク方法を真似たんだ!」というのがあってワロタ。
>LPDDR5x-8533 136GB/sec
AppleSiliconとの比較の場合、リアルワールドベンチでは、この物理的制限からはどうしようもないだろうな。
M2Pro ですら200GB/s、M2Maxだと400GB/sだもの。 CPUもGPUもろくにアーキテクチャ的な改良できず
TSMCのプロセス改良と汎用LPDDRメモリの進化頼み
+キャッシュメモリ増で誤魔化してたのに
それもN3量産が年単位で遅延したことで
超絶鈍化&高コスト化して
AI性能向上でアピるくらいしか無いんだよな
(そのAI性能は元々スナドラに大きく負けている) クアルコムはSnapdragon X Eliteの具体的なTDPを公表していない。
「さまざまな TDP にわたってパフォーマンスを動的に拡張する」と説明しており、
これはラップトップ メーカーがどのような方法を選択するかによって決まるようです。
同社は、チップがクラムシェル、2-in-1、ファンレス
およびアクティブ冷却マシンの両方を含むいくつかのフォームファクタであり後者がほぼ確実に最も強力なパフォーマンスを提供すると示唆しました。
--
18Wファンレス駆動〜28W〜45Wまで
性能と消費電力に応じてcTDP設定で幅広くカバーする感じか
WoAとハイエンドChromebook向けじゃ
x64ノートPCと比べて大した数は売れないだろうから
アホみたいに製品パリエーションは増やせないしな X 18Wファンレス駆動
O 15Wファンレス駆動 M2無印もTDP15〜28Wで
ファンなりMBAとファンありMBP13, /Mac miniじゃ
負荷時の性能が段違いだからな
(そしてピーク性能を上がる場合は消費電力も倍増) >>250
スライドでは75W超えもあるから結局それなり
実測値は来年のM4の噂が出る頃までお預け Intelの爆熱10nmCPUよりはXnapdragon X EliteもApple M3もハイパー省エネでええな
まぁWindowsはARM化すると過去の財産を活用しにくくなるので微妙だけども
macOSはガンガンARMネイティブアプリ増えてるから問題なし
実用性はApple M3の勝ち なお本命はGAA採用の2nm級(TSMC N2等)だから覚えておけ
Planer → FinFET の時と同様、性能のジャンプアップが期待できる
ここに一番最初に到達できる会社が勝つ
TSMCになるのかSamsungになるのかIntelになるのか
それとも奇跡が起きて大穴のラピダスになるのかは不明だが…
2025年のApple A19やApple M5あたりで採用されたら、今使っているiPhone 15 Pro MaxやMac等から買い替えると良いだろう
今回のApple M3もそれなりに高速だろうが、TSMC N3B(FinFET)では限界がある >>256
出てもないものを勝ちとか・・・・。相当の信者? >>257
SamsungはもうGAA(MBCFET)に移行しているんだが。なお歩留まりは相当厳しい模様。 https://pc.watch.impress.co.jp/docs/column/ubiq/1542491.html
IntelやAppleを超越したSnapdragon X EliteのCPU性能。今度こそArm版Windows普及に弾み?
>ただし、今回QualcommはCPUに関しては、Intelの第13世代Coreを上回っており、AppleのM2も上回っているという具体的なデータは公開したが、
>GPUに関しては第13世代CoreとRyzen 7000シリーズに内蔵されているRadeon 680Mを上回っているというデータを公開したものの、
>AppleのM2を上回っているというデータは公表しなかった。
結局、iGPUの性能はメモリ帯域に律速されるから、M2世代にすら勝てなかったんだろうね。
Ryzenでもメモリ帯域足りなくて、GPUが遊び気味だというし。 >>262
ARM Windowsデバイスが売れないのは
(というよりx64 Windowsデバイスが必要とされるのは)
性能の問題じゃないから的外れ
Snapdragon X Elite搭載デバイスなんか
価格も高いだろうから尚更 むしろ安価で必要十分の性能で
事務作業・エンタメコンテンツ消費・学習用途には
必要十分の性能でファンレスPCにも載せられる
N100が無双してるからな N100は「もうこれでいいんじゃね」と思わせる意味で失敗作だったと思うわ。
16GB、512GB SSDで2.4万円はやり過ぎw。 >>266
有名メーカーが出したらもっと売れるでしょうけど、ほかの機種が売れなくなるから
やらないでしょうね。 エンドユーザーがN100に行けば行くほど
クリエーター系の作ってるやつが美味しい
パッと真似できないし >>269
ようは、Macつかってるくりえーたーサイコー
ってことですね(笑)。 https://i.imgur.com/9R2H7Ag.png
https://i.imgur.com/53sSKxX.png
M2無印対抗でそれなりの性能とそこそこの価格で
IntelやAMDと差別化するために
ファンレス駆動できて省電力なものを期待してたのに
性能ターゲットが高く値段も間違いなく高めな物になってしまったな M2Air15インチが今年出たばかりの時点で
新しいAirは無い >>273
M3かA16ベースだろうが
A17proの廉価劣化版のN3EのA17無印ベースだろうが
ゴミ確定済み
https://telektlist.com/a17-pro-geekbench-score/ >>272
そこまでいかなくて、9821らしいよ。 >>271
同じM2アーキテクチャで違う数字の持ってきてるあたりMBA/MBP実機とサマスロ起きようもない理想的な冷却機構つけたテストベッドと比較してそうw
どのみち出る頃には相手はM3Maxなんだが。 ARMはそもそも高クロック高負荷でも一切発熱しないのがウリなんだが。 シングルスレッド性能もワッパもスナドラに負けたら無価値なゴミじゃん
絶対性能はIntel/AMD CPU+NvidiaGPUに
逆立ちしても勝てない糞ゴミうんこだし
つーかGPUはワッパでもRTX4000laptopシリーズに対しても
遥かに劣るし優位性はない
https://i.imgur.com/8BMjAuK.jpg >>277
こういう褒め殺しみたいなアホな書き込みして恥ずかしくならない? M3Proのコア数がPコア6、Eコア6のうわさあるが
Pコア減ってどうする?
これ無印のほうじゃないか? M2 ProがM1 Maxを食ってしまった反省からM2 Maxに遠慮した可能性? geekbench6(推定値)
https://twitter.com/tgod34748/status/1702788565204140105
performance of the M3:
Single core: 3308
Multi core: 12856
For comparison, M2 scores:
Single core: 2568
Multi core: 9631
https://twitter.com/thejimwatkins https://pc.watch.impress.co.jp/docs/column/ubiq/1543148.html
ライバル完封のSnapdragon X Elite、ベンチマークでその実力が明らかに
システム23W設定だと、Pコア12個で当然M2には勝つわな。
だけどGPUはM2の10コアと同程度しか無い模様。
80W設定で、GPUがスケールするのかはやや疑問。1 SKUで行くそうだからGPUコア増やしたモデルも無いようだし。 また酒屋の息子さん、予想ハズしたのですか!?何度目ですぅ!?
225
名称未設定(ワッチョイW)
sage
10/23(月) 20:12:51.44
>>224
低価値なゴミMacに高コストで稀少なN3BのA17proなんか使わんよ
近々に出るならA16ベースの多コア版だし
半年~1年後に出るなら低コストなN3E使ったA17無印ベース >>291
やめたれwwww
もう恥ずかしくて出てこれないwwww 無能な酒屋ってそもそもM3は今年出ないとか根本から外れた予想してるからな
願望垂れ流し機はお前だろっていう 数日前にM2を越えた!とか言ってたQualcomm NDK?www 酒屋さん、事情通ぶってるくせに予想精度は低いんですね…ガッカリです。。
Apple M3、どこからどう見てもA17 Proベースじゃないですか;
220 名称未設定(ワッチョイWfa5d-Tb+e 1/3) sage 10/23(月) 15:50:21.96 ID:FLS8dRYo0 1/3
TSMC N3の生産力がうんこだし高コストだから
年内に出るならA16ベースにしかならないしな 前スレの恥ずかしい書き込みを晒しとこう
538 名称未設定 (アウアウウー Sa91-Hgnn) 2023/01/20(金) 00:53:14.31 ID:unoPLO7ca
次の低脳マカーが願望に従って盲信するガセネタは
年内にN3のM3が出る!か😂
M2から18-24ヶ月経たないとでないのにな
M3pro以上は今時点から18-24ヶ月後
757 名称未設定 (アウアウウー Sa39-DkDz) 2023/02/25(土) 20:15:16.25 ID:477MRaNGa
>>756
M3は今年出ねーぞ低脳カス
M2ultraやM2 imacも出てないのに
出ると思える方が頭が悪い 今回のApple M3がTSMC N3B製造であることを的中させたスレ民の方にお聞きしたいことがあります。
来年のiPhone 16 Proまたは新型Macに使われると予想されるA18またはM4の製造プロセスルールは
TSMC N3Eになると思いますか?
それともGAAのTSMC N2ですか?
TSMC N3Eなら性能強化は限定的になると思うので、Apple M3 Macを買おうと思います。
しかし来年にGAAのTSMC N2のApple M4 Macが来るならば、1年待ってもいいかなぁという考えです。
先見の明があるスレ民の方の回答をお待ちしております。
なお酒屋の息子さんの予想はいりませんので書き込まないでください。 高コストなM3は無印含めてMBP用で
ローエンドのMBAとMac miniは安価なM2/M1のまま併売 >>299
酒屋の息子さんの当たらない予想は求めていません。書き込まないでください。
>>300
やはりそうですか。私も同じ考えです。 TSMCが公表してるロードマップではN2は2025年となってるが、それより半年から1年は遅れるだろうし、2026年に生産でもおかしくない TSMCが公表してるロードマップではN2は2025年となってるが、それより半年から1年は遅れるだろうし、2026年に生産でもおかしくない さすがに一気にラインナップをM3にできるほどではないにしろ、iPhone 15とMBP/iMacを出せる程度にはN3Bの歩留まりは悪くないのかね。 >>305
M2があまりにも売れないからM3を急いだだけでしょう。これで不振が続いたらやばい。 CPU側を超強化してくると思ってたわ
M1比十倍の規模で iPhone/iPadで上位Proとローエンド機で
スペック差別を大きくして来た通り
Macでもその商法を拡大か
M3は公称通りの性能を発揮するくらい
負荷時は爆熱確定だから
ファンレスのAirには載せらんないしな
(載せてもサマスロ地獄で性能向上も限定的) >>307
iGPU性能は汎用LPDDRメモリ性能の進化に
頼って依存できるからラクチンなんよ M2も高負荷時はM1の半分しかバッテリー持たないしな おさらい
https://www.itmedia.co.jp/pcuser/articles/2206/22/news223_2.html
> フレームレートはM1チップモデル比で50%近く向上した。
> ただし、バッテリー駆動でテストした場合の消費電力増は避けられず
> テスト中に消費したバッテリー容量がM1チップモデルが6%ほどだったのに対し
> M2チップモデルは約2倍の14%ほどになった。
IntelやAMDと同様に
負荷時のピーク性能を上げる代わりに
ワッパを無視して省電力性を犠牲にしてるだけだからな >>312
なんで今更M2の記事持ってきてんの?w
Scary FastなM3にScareしちゃったの? https://k-tai.watch.impress.co.jp/docs/review/1542683.html
iPhone 15 Proで「バイオハザード ヴィレッジ」
> 今回のゲームでもやはり発熱が気になってしまう
> 高温で充電が停止する
> 心配してしまうくらいの発熱を肌で感じる >>312
なんども論破されているのに同じ記事貼る馬鹿定期。
>先述の通り、M1チップと比べるとピーク時におけるGPUの消費電力は増えているようだが、「処理能力当たりの消費電力」という意味では決して増えているとはいえない点も注意したい。
>「バッテリー駆動でもゲームをガンガン動かす」という話ならば別だが、GPUを活用する実アプリケーションでの性能となると、評価は“行う処理あたりの消費電力”で行うべきだろう。
>いずれにしろ中間ファイル生成の速さ、編集時の応答性の良さなど、ベンチマークに現れにくい部分でのM1チップとM2チップの差は想像以上に大きい。
>このテストは満充電状態からのバッテリー駆動で行ったが、処理の完了時におけるバッテリー残量はM1チップモデルが90%、M2チップモデルが96%だった。
>「思ったほど差がない」と思うかもしれないが、M2チップモデルの方が処理が早く完了する上にバッテリーを大して消費しなかったという事実は驚きである。 M3 Ultraは来年の春か6月頃かな
M4は早くて1年後か1年半後あたり
arrow lakeやzen5、RTX50xxとの勝負が楽しみだ
Snapdragon X Eliteも来年だし、2024年は盛り上がりそうだな >>318
> M4は早くて1年後か1年半後あたり
低脳で頭悪すぎだろコイツ🤣 Apple M3プロセッサー(25万円~)、CPU/GPU共にZen4 APUに敗北したことが判明。 [737121978]
http://greta.5ch.net/test/read.cgi/poverty/1699340538/
GPUのGeekbench Compute
Radeon 780M Open CL 36162
Apple M3 Open CL /Metal 32540/34398
参考
NVIDIA GeForce GTX 1650 with Max-Qの同スコア
43310
なおCPUはGeekbenchで
Ryzen Z1 Extreame 10917
Apple M3 10774
https://www.notebookcheck.net/Apple-MacBook-Pro-14-2023-M3-Review-The-base-model-now-comes-without-a-Pro-SoC.765661.0.html
https://news.livedoor.com/lite/article_detail/23005162/ >>327
廃熱条件を揃えないと無価値
ファン付きのアルミ筐体の14インチノートに載せてら使えば
ryzenも変わらん >>329,327
マルチしてマルチで速度論破される負け犬の低脳マカー😂 草
誰が誰だか分からないなんて何年酒屋やってんだよ元AU回線 M1 の時も8th Intel 、DDR4-2400メモリ、SATA-SSD止まってた
Intel Macと比較したら速かったというだけで
その時点の同世代のWindowsPCと比較して
性能的な優位性なんか別に無かったしな
https://pc.watch.impress.co.jp/img/pcw/docs/1315/668/html/g2_o.jpg.html geekbenchはapple有利でこのマルチだもんねwww
geekbenchのシングルでもintelが上だし、passmarkはapple媚びる害悪計測サイトwww 酒屋ヨォ〜
帰ってこないと俺が
酒屋名乗っちまうぜぇ〜〜🥹🥹🥹 >>337
どうぞご自由に
ただし次の言葉は必ずいれてくださいね
(失笑)
(笑)
頭悪すぎだろコイツ
低脳 (低"能"ではない点に注意してお使いください)
マカー
無意味
無価値
この語彙の偏りが酒屋さんの魅力なのです
真似してください Apple幹部「M3はスゴいからメモリ8GBでも、16GBのM1 Macと同等の性能だよ!」
↓現実
https://gadget.phileweb.com/post-60067/
YouTubeチャンネルMax Techは、1つは8GB、もう1つは16GBのRAMを搭載した2つの14インチM3 MacBook Pro(RAM以外の条件は同じ)を比較検証している。
今回の検証は、実作業のもとでの使用環境を再現し、テストしたものだ。その結果は、中程度の作業負荷と重い作業負荷の両方で、RAMを増やしたことで大幅なパフォーマンスの向上を確認している。
8GBモデルでは、CPUベンチマークアプリ「Cinebench」で11%ものおくれを取ることに。Photoshopでの画像結合や、Final CutとAdobe Lightroom Classicでの書き出しを完了するのに数分長くかかっている。
これらのテストは、まず他に何も起動していない状態で実行。さらに、実世界でよくあるマルチタスク環境を再現するため、ブラウザで何枚もタブを開き、YouTubeビデオ、スプレッドシート、電子メールなどをバックグラウンドで開いた状態で繰り返されている。
こうした状況ではRAMが不足しがちとなり、8GB版はSSDスワップ(仮想メモリ)に依存するようになる。その結果、予想通り2台のマシンの性能差はさらに広がり、8GBモデルの反応が全般的に低下。動画には映っていないが、8GB版でBlenderのレンダリングとFinal Cutの書き出し中にクラッシュしたことも報告している。
特筆すべきは、16GB版ではBlenderのレイトレーシングのアクセラレーションが利用できたが、8GBのMacBook Proではオプションがそもそも表示されないことだ。残りメモリの減少により、GPUコアの特定機能を使えなくなっていることが示唆されている。
今回のテストは、14インチM3 MacBook Proの購入を検討している人の頭を悩ませるだろう。ベースの8GBではM3チップの性能に支障をきたしかねないが、購入時に16GBや24GBを選べば2万8000円/5万6000円も価格が跳ね上がる。そしてMシリーズチップ搭載マシンは、ユニファイドメモリ・アーキテクチャのため、後にRAMを増やすことができない。 彡 ⌒ ミ
( ´・ω・`)
/⌒ヽ_.ィ、
( r. )
ヽノノー‐l
|__/_/
└一'一 >>339
どう考えても8GB/256GBモデルは広告の詐欺のためのモデルだろ。
買うヤツは「頭悪すぎだろコイツ」 幹部1「マジで買ってて草生えるw」
幹部2「貧乏って噂は本当なんだなw」
臀部1「ま〜ん(笑)」 >>341
「頭悪すぎだろコイツ」
↑これはレスの1行目に使うのですよ
最後に使ったら酒屋さんっぽさが無くなってしまいます! 減点-10
一級酒屋の息子判定士である私があなたのレスを添削するとこうなります
341 名称未設定(ワッチョイ) 11/14(火) 16:13:22.65 ID:pjQDuHfk0
>>339
頭悪すぎだろコイツ
どう考えても8GB/256GBモデルは広告詐欺のためのモデル
お前の存在と同じで無価値で無意味 続けて別回線で「やめたれーいw」と自演レスする事も「N代目酒屋の息子」を自称したいなら必要な行動です
1/10くらいの確率で自演失敗するお茶目な所も是非再現してみてくださいね 自演に失敗したあとは5行以上のネガキャンコピペをマルチポストしつつ
嫌儲にて「コーヒー浣腸」スレを建てて憂さ晴らしする事でより再現率が高まるでしょう >>346は何かが足らん
どこの板にでもいる量産型の老人のように思える 6620
宮越ホールディングス
テンバガー候補ナンバー1
取組妙味もよくなり
動き出してきた https://browser.geekbench.com/opencl-benchmarks
https://imgur.com/L450FTE.png
NVIDIA GeForce RTX 4090 Laptop GPU : 181675
NVIDIA GeForce RTX 4060 Laptop GPU : 88866
Apple M3 Max : 86124
Apple M3 Max : 86124
Apple M3 Pro : 46721
Apple M3 : 29936
悲しいなあ Zen 4世代で性能が爆上がり!Ryzen Threadripper 7000シリーズ
https://ascii.jp/elem/000/004/170/4170744/
> 注目すべきはThreadripper 7970Xと3990Xのマルチスレッドのスコアーがほぼ並んでいるどころか、7970Xの方が少し高いということ。
> アーキテクチャーの差はコア数2倍というハンデをいとも簡単にひっくり返してしまった訳である。
> シングルスレッド性能もZen 2世代のThreadripper 3000シリーズから見ると1.5倍程度伸びている 現実さあ。。
https://opendata.blender.org/benchmarks/query/?compute_type=OPTIX&compute_type=CUDA&compute_type=HIP&compute_type=METAL&compute_type=ONEAPI&group_by=device_name&
NVIDIA GeForce RTX 4090 Laptop GPU 8099
NVIDIA GeForce RTX 4080 Laptop GPU 6280
NVIDIA GeForce RTX 4070 Laptop GPU 3926
Apple M3 Max (GPU - 40 cores) 3409.41
Apple M3 Max (GPU - 30 cores) 2849.29げ NVIDIA「我々はもうパソコン(笑)なんて低次元なところで戦ってないんですよ」
NVIDIA純利益14倍 8-10月、AI半導体で急拡大続く - 日本経済新聞
https://www.nikkei.com/article/DGXZQOGN215UZ0R21C23A1000000/ スマホ用SoCの手抜き使い回し残飯お排泄物でしか無い
Mac用Appleシリコンはハイエンドワークステーション以上では
全く話にならず逃走してしまったからな
Appleシリコン使った自社クラウドの内製化の計画も頓挫したし スコアのみの比較w
でもスナドラは爆熱死したよね🥹 Pro ToolsやLogic Pro、Ableton Live、Studio OneではM1 Proのパフォーマンス結果の方がM2 ProやM3 Proよりも優れている
https://gigazine.net/news/20231201-apple-m1-pro-m3-pro/ >>355
Pコアどんどん削ってるから、CPUバインドのタスクのパフォ-マンスが悪化しているって最初に書いてあるのに
「ソフトウェア自体に原因があるのか、OSに問題があるのかといった疑問が生まれます。」
ってアホなの? 次世代メモリモジュール標準「CAMM2」をJEDECが認証で、LPDDR系でもソケット復活できるようになるかも。
まあだからといって、Appleが採用するとは限らないけど。 >>357
スマホ用SoCの手抜き使い回し残飯と排泄物しか降りてこないから
100%無い 数十年前の時点で50~60代だったから、流石に寿命かな?
これにて無事解決 いきなり静かになったけどどうしたんだろうね。
今は嫌儲の厨房がひっそり向こうでスレ立てしてるくらい(自分でスレ立てておいて嫌儲が浣腸で迷惑してますって報告して来る程度)
PCニュースは彼の仕業じゃないし(スクリプト使える脳じゃない)
自作スレもピュアスレにもいない。本当に死んでしまったのか分からない…
とりあえずa6-持ちの私が引き継いで良いみたいだし、
飽きるかタヒるまで引き継ぐよ🫡 今年は20年続いた厄災が鎮まった記念すべき年になりましたね
来年からは気持ちを新たに頑張っていきましょう 流石に鎮魂されたろ
Apple関連板のタタリ神はお鎮まりになったのだ いつ頃から居なくなったのだろうか?このスレだと>>358が最終書き込みだよね
2023年12月13日以降に彼の書き込みを見た人いる? まぁ平和になった事は良いことだし余計なことはせず放置でいいか
皆さん長い間お疲れ様でした
これにて隔離スレは円満終了といたします
またどこかでお会いしましょう >>373
エヴァの影響?
宇部新川周辺が聖地と化したからw 酒屋の真似して批判した連中が軒並み低脳だった
酒屋と同じく貧乏な数字厨は無知で頭が悪いからしゃーない
頭が悪いから数字にこだわるのか
数字しか見えないから頭が悪いのか
それで酒屋はショック死したんだろうかな
どっちなんだろうな😘😘😘 酒屋氏に限らず5chにいるような人達は高齢者しかいないから突然死は普通にありえるんよ
たしか5ch利用者の平均年齢はひろゆきと同じ47歳前後だったはず
日本国民の平均年齢48.6歳と大して変わらない
この年代になると突然死が増える
https://president.jp/articles/-/54156?page=1
高脂血症、糖尿病、肥満などの生活習慣病を抱えてる人は
カップ麺、スナック菓子、ファストフード、清涼飲料水(炭酸)などの寿命を短くする食べ物と
運動不足からはできる限り迅速に離れた方がいい Apple Vision Proも
重過ぎるしキーボード入力がクソという評価で早速FA
https://www.gizmodo.jp/2023/06/vision-pro-apple-wwdc-2023-early-review-price.html
アメリカ人の体躯と首周りでも
重くて苦痛で首に負担が大きくて
長時間の使用に耐えられなないなら
ホビットのジャップじゃ話にならんな > わずか30分ですが、その短い時間ですら重いなと思った
> 市場にすでに出ているVRヘッドセットと同等、またはそれ以上の重さを感じた Metaは「Quest」でも空間ビデオを再生できるようになると発表した。このv62ソフトウェアアップデートは、「Quest 2」「Quest 3」「Quest Pro」に適用される見込み。
Vision Proは3499ドル(約51万円)で、2023年10月に発売されたQuest 3は499ドル(日本では7万4800円)だ。 相変わらず無能やなあ
>先週アップルは、クアルコムとの5Gモデムチップ供給契約を3年延長したことが明らかになった。
>アップルは独自設計の5Gモデムを開発しているものの、予想以上に難航しているためと推測されている。
>そして昨年末の時点では、同社はプロトタイプの開発に成功したものの、クアルコム製よりも
>「3年遅れ」の水準に留まっていたと米The Wall Street Journalが報じている。
https://gadget.phileweb.com/post-54421 勘違いしてイキってQualcommに対して
自分からケンカを売って
Qualcommとの係争で惨めで無様なまでに完敗して
無いてションベン漏らしながら敗走して
https://business.nikkei.com/atcl/NBD/19/depth/00146/
Intelがゴミだと見限って捨てた
産廃廃棄お排泄物を、仕方なく1100億円も払って拾って
https://www.nikkei.com/article/DGXMZO47811500W9A720C1TJ1000/
それを形にできず何年も追加投資し続けたから
https://japan.cnet.com/article/35167657/
今さら諦められなくなって(=サンクコスト)
傷口を広げまくってるのは草
そもそもがIntelがゴミだと見限って捨てた
産廃廃棄お排泄物だから
いくら金とリソースを継ぎ足そうが
まともなものになるとは限らないのに🤣 その無駄になった開発投資やQualcommに払う賠償金も
低能マカーが買うMacや各種Appleサービスに
転嫁してるだけだから、Appleにとっては痛くも痒くも無いか🤣 なんか嫌いなものをここまで調べて書き込むの見ると本当はアップル好きだろw これは酒屋の偽物だろ
酒屋は低能じゃなくて低脳と書くからね じゃあこれは泥がついてるんじゃなくて泥でできてたってか Apple Vision Proを返品するユーザーが、急増していると海外メディアのThe Vergeが報告
https://gigazine.net/news/20240215-apple-vision-pro-return/
最初から50万円で買って使い続ける余裕も気もなくて
2週間で返品する前提で注文したやつが返品してるケースも多いだろうが
そいつらの気を体験させても変えることができなかった
その程度の物でしかなかったという事実は変わらんな お、なんかいるね。
>M3に並ぶGB6スコアもPro/Maxには大差〜Snapdragon X Elite
NPUだけは、なかなからしいけど。 M3に並んでるんなら十分だな
Pro/Maxみたいな変態SoCはどうでもいいし >>397
Geekbench6のスコアを整理するとこんな感じか
M2は追いつかれちゃったか・・・
Apple M2
2669, 10157, 4+4コア
Apple M3
3192, 12122, 4+4コア
Apple M3 Pro
3192, 15693, 6+6コア
Apple M3 Max
3103, 21068, 12+4コア
Snapdragon X Elite
2574, 12562, 8+4コア 12コアなら同じ8+4のM2 Proと比較するべきじゃないの StableDiffusionの速度で、ULVのcore i7よりずっと速いとかやってたけど、実用するヤツなんかいるのかね。 ローカルAIで実用的になってるのって、マイクのノイズキャンセリングくらいだよな。
グーグルマジックみたいなのは使っても数回程度だし、それくらいCPU処理でも許せる。 ユーザーが明示的にキックする機能以外でも、
ユーザーが意識しない部分で働いてたりするけど
そういうのがCPUやGPU主体で動くとバッテリーに優しくなさそう。 うん。cpuベースやgpuベースで動く時coreMLはエネルギーインパクト凄い >>403
文字起こしとかもAIの方が優秀だし
動画編集のトラッキングとかもAIあると天と地の差 今だと人物の切り抜きも出来るな。
コラはあまり作らんが nvidiaのtensor coreぐらい性能があれば色々できたんだろうけどな
環境もそれなりに整ってるし
macでNPU強化しても使い道が少ないから、トランジスタの無駄遣いになりかねない ブラウザ(Safari)に表示した画像内の文字を文字データとしてコピー出来たりするし、
写真ライブラリのキュレーションとかにも使ってるらしいし
ユーザーが意識してないだけで、スマホやタブレットまで入れると、消費者向けにおいて世界で一番AI活用してるのはApple製のデバイス(ニューラルエンジン)じゃね?
生成AIとかそっち方面の方が、話題としては確かにわかり易くて目立つけどね。 >>410
Safariで翻訳有効にすると画像内のテキストまで勝手に日本語変換してくれるの、地味にありがた迷惑なんだよな…分かるかなこれ 文字だけで範囲選択して翻訳とか
スラング混じるとおかしくなるけど アップル社幹部の一家が暴走車のひき逃げに遭い、家族4人全員が死亡
tps://nofia.net/?p=19006
南無… LunarLake MXは結局、Apple SiIiconみたいな構成になる模様。 マイクロソフト、17兆円かけてAI専用のスーパーコンピューターを開発。その名も「スターゲート」 [823824795]
https://greta.5ch.net/test/read.cgi/poverty/1711758619/
Armでは無さそう😁 >>415
ま、Microsoftはどんなアーキテクチャーでもいいでしょうからね。 データセンター向けだから
TDPも価格も気にしなくて良いんだろうからなぁ
フーンとしか… 回り回ってエンタープライズはコンシューマーへ繋がるぜよ そもそもAppleはエンタープライズ向けのCPU作ってねえからなぁ
比べようなくね? それはApple siliconが比べようがないぐらいショボいだけ Intel<でもMacってWinの1/10くらいしかシェアないんでしょ?(笑) >>425
別にintel自体はどうでもいいんだけどお前みたいなのってなんですぐintelを引き合いにだすの?モノ知らんから? エンタープライズ向けのCPUが凄いならOKって事ならMacはいまだにPowerPC使ってるわな 残念、ID:euTTNVuQ0君だけが話題の外の様だ
可哀想だから好きそうな話題を誰かふってあげて🙃 オッペケの別名義
自作板
このレス転載禁止=オッペケ=スップ=アウアウ=ササクッテロ =ブーイモノシリ=自演認定荒らし=ワントンキン
=AAコピペ荒らし=くるみん=ハンドブック=ゴミネオ=団子=雑音=ビターモン=テへ権田=トレードスレの湘南詐欺師
=ハンドジョブ=荒らした後にIntelに雇われたネット工作業者と書き込む自演10-
FFなどMMO関連板と自作板
田村=ネモ
新mac板
酒屋の息子
ノートPC板
Strixおじさん=Scarおじさん=MSIおじさん=スパムおじさん=キモ七=奇形デコ助=糖質スポンジ脳
なんJ板
漱石スクリプト荒らし
これら以外に嫌儲板や買い物板にも出現してる