X



Intelの次世代技術について語ろう 103
■ このスレッドは過去ログ倉庫に格納されています
0398Socket774
垢版 |
2021/10/15(金) 14:46:36.11ID:eztTC1/f
>>395
ブーストの違いじゃね?
0400Socket774
垢版 |
2021/10/15(金) 15:20:15.85ID:Ozt1HLBs
>>388
すぎやま氏は90歳まで生きたからまあ大往生と言っていいと思うんだが
岩田聡氏は55歳で亡くなったからなあ
早すぎる
0401Socket774
垢版 |
2021/10/15(金) 15:40:42.16ID:diH1gPco
岩田 イワエモン
0403Socket774
垢版 |
2021/10/15(金) 17:15:48.76ID:0eIOKb95
>>402
いちいち報告する意図が分からんのだが
スレが立ったことに何の意味があると思って貼ったんだ?
0404Socket774
垢版 |
2021/10/15(金) 17:24:39.27ID:10TH4Dlu
何かの同意に飢えてんだろ。
0405Socket774
垢版 |
2021/10/15(金) 21:41:41.86ID:MOfrj5jp
402-403セットでコピペだよ、反応すんな
0406Socket774
垢版 |
2021/10/15(金) 23:40:20.07ID:BV1d1Ra7
>>397
そういうのは量産できてからいおうぜ
0407Socket774
垢版 |
2021/10/15(金) 23:56:17.59ID:0eIOKb95
実質7〜8nmを4に改称して計画通りとは
0408Socket774
垢版 |
2021/10/16(土) 06:19:50.31ID:uyMgHYbd
2022年のiPhoneに間に合わなかったため、現在TSMC N3の最大顧客はIntelになると観測されている
タイルを1Q23に受け取り自社ファブの後工程を経て出荷となると製品は2Q23
このタイムウィンドウを狙って量産される製品は何になるのだろうか

TSMC's First 3nm ICs in Q1 2023, 3nm Extended Node Incoming
https://www.tomshardware.com/news/tsmc-first-n3-chips-in-q1-2023-n3e-node-incoming
TSMC N3の量産は22年の3Q終盤に始まり、23年の1Qに契約数量の出荷が完了する見込み
またTSMC N3の後継はFinFETのN3Eとされており、GAAへの移行は2025年まで起こらないかもしれない
0409Socket774
垢版 |
2021/10/16(土) 07:49:00.73ID:oddUjTL6
こんな感じか

2022 N4
2023 N3
2024 N3E
2025 N2

2024年前半のIntel 20Aで逆転するな
0410Socket774
垢版 |
2021/10/16(土) 08:51:49.03ID:45XN7WJQ
順調に進めばね
0411Socket774
垢版 |
2021/10/16(土) 11:21:17.63ID:wTDQHFTx
2024年にはIntel7++++になってそう(元Intel 10nm DUVの使いまわし)
EUV対応となるIntel4(元Intel 7nm)は高い障壁だ
現時点でまともなEUV製造品を作ることが出来ているのはTSMCだけ
※Samsungも5nmの製品を出荷できてはいるが歩留まりや性能で苦労してる
(ゆえにApple A15とスナドラで性能差が開いてる)

TSMCに3世代以上差を付けられてしまったので、このままTSMC外注を推し進めるほうが良いと思う
ジムケラーもそう言っていたはず
今のIntelはコンコルド錯誤になってる
損切りが大事だぞ何事も
0412Socket774
垢版 |
2021/10/16(土) 13:48:33.96ID:moCYGf8E
IntelもSamsungもトランジスター性能でTsmcに歯が立たない少なくとも22nmまではそうでは無かった
14nmも開発想定範囲内ではまともだったような
0413Socket774
垢版 |
2021/10/16(土) 22:04:25.37ID:uyMgHYbd
うっかりスルーしていたネタ
Ponte VecchioのHBM2eはチップあたり640GB/s(5Gbps/pin)
A0シリコンでフルスペックを発揮している

現状でもEMIBってこんなに速かったんだな
2/3〜3/4くらいだと思ってた
Sapphire Rapidsの性能見積もりを上方修正した

https://news.mynavi.jp/article/20210908-1967152/
https://news.mynavi.jp/photo/article/20210908-1967152/images/017l.jpg
>図16 Ponte VecchioのA0シリコンの現状。
>FP32の演算スループットは>45TFlops、メモリファブリックのバンド幅は>5TBps、
>チップ間接続のバンド幅は>2TBps、ResNet50での推論性能は43Kイメージ/秒、
>学習性能は3400イメージ/秒が得られている
0414Socket774
垢版 |
2021/10/17(日) 02:00:25.91ID:orVe/RwW
Sapphire Rapidsで使われるEMIBは〜5.4GHz、バンプピッチ55umだから、同じなら
HBM2e1つ当たり片側のダイのバンプエリアは3平方mmちょいくらいで収まるかね。
Sapphire Rapidsのタイル間のEMIBは、重なり幅が場所によって1.2mmと1.5mm辺りで、
長さが5mm超のと7mmくらいのがあって、それが2つのタイル間に2または3個。

Skylake-SPのメッシュは確か〜2.4GHzくらいだったと思うけど(Core-XやW-3175Xで
水冷でOCしても3.3GHzくらいがMax)、Sapphire Rapidsはシリコン面積節約のために
メッシュのバス幅狭めてEMIBを通しているとのことなので、バス幅半分にして5GT/s
辺りでEMIBを通してるということかね。
それなりにレイテンシはあるから、分割して使うときはタイル境界で分割するのが
推奨みたいだけど。
0415Socket774
垢版 |
2021/10/17(日) 02:50:17.72ID:orVe/RwW
Sapphire RapidsのHBM2有り版は、メッシュ1本(片方向)の帯域が100GB/s台だろうから、
コアのタイル群とHBM2の間にどのメッシュに流すか分配するような物が入るのかな。
メッシュはKNL以来先ず縦に流して、その次に横に流すルーティングのようだから、
DDRのメモコンみたいな単純な接続だと特定のメッシュ/メッシュストップが飽和しそう。
0416Socket774
垢版 |
2021/10/17(日) 08:19:50.44ID:yZLhbhAG
>>413
HBM2E自体はベンダ仕様通り1スタックあたり400〜500GBps程度だと思う

メモリ「ファブリック」帯域というのはベースタイルの144MBキャッシュに対して
コンピュートタイル(2.9TFlops@FP32)がマイクロバンプ3D接続で320GBps
2つのベースタイルに16個あるので合計5.12TBps、とかそんな話じゃね?
0417Socket774
垢版 |
2021/10/17(日) 08:29:36.35ID:yZLhbhAG
>>414
HBM2Eの仕様はJEDECで決められてる
チップサイズが10.975mm*9.975mm
バンプエリアがチップ中央8.2225mm*3.216mm

HBM2E下だけでもブリッジの面積には54mm2が必要なので
プロセッサ側バンプがエッジ寄せでも合計80mm2程度は必要では?
0418Socket774
垢版 |
2021/10/17(日) 10:46:48.61ID:orVe/RwW
>>417 その場合は、規格に制約されないプロセッサ側のバンプエリアは55umピッチだと1000本単位でも
かなり少なくて済むってことかね。
0419Socket774
垢版 |
2021/10/17(日) 11:50:42.61ID:3FEFZtz9
PコアとEコアが混在する、だいたい12コの同世代Coreを搭載するノートが19日2時に登場か
0422Socket774
垢版 |
2021/10/17(日) 13:50:23.75ID:zQuJuvPC
板違い
0423Socket774
垢版 |
2021/10/17(日) 13:53:11.62ID:2tP0rshY
TSMC N5またはN5Pで製造されるApple M1XにはIntelどころかAMDですら勝てないのは分かりきってる
このワッパに追いつくためにはIntel 4(元Intel 7nm)を待つしか無い
0424Socket774
垢版 |
2021/10/17(日) 14:00:39.46ID:LiL1YLNt
alder lakeやzen3の最上位ですら、ローエンドのM1にワッパも性能も負けてるからな
M1Xが登場すればintelもAMDもゴミ同然
0425Socket774
垢版 |
2021/10/17(日) 14:16:10.51ID:5ezha/WZ
デスクトップ向けCPUがノート用CPUにワッパで劣るのは当たり前では…
0426Socket774
垢版 |
2021/10/17(日) 14:18:09.84ID:ug2HClB8
Apple vs Intel - Which Processor Is Right for You
ttps://www.intel.com/content/www/us/en/products/performance/gopc.html

Intelまたやっtel
0427Socket774
垢版 |
2021/10/17(日) 21:06:25.50ID:2Ivv0AVK
PVCはベースタイルがデカすぎるのも謎
L2キャッシュはたぶんRamboキャッシュのことだろうし
クロスバでも実装してるんだろうか

>>415みたいなHBMに対してオンダイファブリックがボトルネックになる問題は昔からRadeonなんかでもあったわけだが
PVCはFoveros使うのでクロスバの実装にコンピュートタイルのダイ面積を費消せず済むという合理性がある
逆にFoverosを使わないSRPsはメッシュバスを継続すると……
0428Socket774
垢版 |
2021/10/17(日) 21:10:08.92ID:2tP0rshY
Intelの焦りが手にとるように分かるなw
0429Socket774
垢版 |
2021/10/17(日) 21:25:30.32ID:DfqY/+Rl
intelが導入済みだった分岐予測のtageをAMDが後追い実装して性能向上したみたいに、
M1系だけが持ってる特別な機構があってIntelが簡単に真似できるかどうか、はちょっと興味あるぞ。

M1の特異性というと俺が知る限りではパッケージ内にDRAM持ってるってことだけだが、
Intelもそれやったら速くなるんだろうか?
マザー上のメモリスロット2つに減らしていいから、8GBくらい載せてくれてもいいんじゃないかと思うわけだが。
0430Socket774
垢版 |
2021/10/17(日) 21:38:11.46ID:2tP0rshY
小手先の設計でどうにかなる問題ではないと思う
IntelやAMDより2年以上早くTSMCの最先端プロセス(現時点ではN5P)を使える
Appleのアドバンテージをどうやって無効化できるか(≒追いつけるか)が焦点

これが出来ない限り、物理的に性能で追い越すことは無理だ
1mm2あたりのトランジスタ数(≒密度)が違うのだから、どうやっても勝ち目がない
0431Socket774
垢版 |
2021/10/17(日) 21:40:05.33ID:2tP0rshY
AMDがRyzenでIntelを打ち負かしたのもTSMC N7Pの恩恵だしな
いくらジムケラーが頑張ってもTSMC N7PなしではRyzenはIntel Coreシリーズに勝てなかったよ
それくらい製造プロセスは大事
というか性能の8割を決めていると言っても過言ではない
0432Socket774
垢版 |
2021/10/17(日) 21:58:49.90ID:tp+6Zpk9
小回りの効く演算器を大量に乗っけた上で分岐予測やスケジューラに贅沢にトランジスタを投入し、固定長命令なのを良いことに命令フェッチ数をやたらめったら増やし、big.LITTLEを活用し、メジャーな言語向けにチートな命令を揃え、L1を多めに載せ、世界最先端プロセスを実質貸し切りでダイサイズも贅沢に使っただけだゾ!
0433Socket774
垢版 |
2021/10/17(日) 22:00:45.44ID:ug2HClB8
力こそパワー
0434Socket774
垢版 |
2021/10/18(月) 02:19:24.80ID:K0IjhLnt
>>431
Pentium4と同一プロセスで圧倒的な性能を示したCore2の亡霊に取り付かれるとそうなる。
Pentium3、Pentium-M、Core、Core2と追って行けば何のこともないのに前があまりに酷いと正常進化が分からなくなる。
0435Socket774
垢版 |
2021/10/18(月) 10:35:24.94ID:CE4SUCWl
>>370
緑のローエンドミドルエンド帯がマイニングバブルもあって
コスパ最悪になってしまっているから
intel数十年ぶりの単独GPUとなるArc期待しているんだけど
Arcの専用スレってあったっけ?
0437Socket774
垢版 |
2021/10/18(月) 11:36:47.86ID:CRqX+eLA
ミドルエンドwww
0438Socket774
垢版 |
2021/10/18(月) 11:57:56.27ID:MAWz1uuQ
             ミドルエンド
               /\
             /    \
             /      \
             /  ヽ('A` )ノ    \
        /    へ( )       \
       /       く        \
     /                   \
ローエンド ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ハイエンド
             ミドルレンジ
0440Socket774
垢版 |
2021/10/18(月) 22:26:51.78ID:4L0qc++O
INTELユーザーの一生
若き時はAMDと戦い老いてはIntelに従え
0441Socket774
垢版 |
2021/10/19(火) 11:38:20.77ID:4u+A7+3m
>>438
下向き三角の頂点は「ナイスミドル」
0442Socket774
垢版 |
2021/10/19(火) 11:50:32.01ID:HUCxyr+X
M1 Pro 速いなあ。IntelもTMSCといろいろやり始めたようなので、来年あたりメモリもCPUに統合してくるかもしれん。
そうなると、DDR5メモリの需要はサーバー用途だけになってしまうかもだ
0443Socket774
垢版 |
2021/10/19(火) 12:02:14.63ID:ZzQD4u7E
               ミドルエンド 
                 //\
               / / . \
              /  / .   \
            /    /  .    \
           /    /   .     \
    ローエンド ←──-.<──────→ハイエンド
           \    l        /
            \   l      /
    | ̄|       .\  l    /.| ̄|   ...| ̄`ヽ
    |  | ._     | ̄.\ l  / ...|  | ̄|  | .. .|
    |  | |  |_   |   |.\l/  _|  | | ̄ ̄|  __| ̄|
    |  | |  .| | ̄ ̄|...|  `   |   .| |    | | |  |∧
 ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
0444Socket774
垢版 |
2021/10/19(火) 12:11:33.05ID:kyLZ68Oy
エヴァのあーなんだラミエルだっけか
0445Socket774
垢版 |
2021/10/19(火) 13:04:41.71ID:z4M0+QOT
Alderゴミじゃん
Intel4まで待つしかないか
0447Socket774
垢版 |
2021/10/19(火) 15:33:22.82ID:zubDA6A0
>>446
M1は特定の項目が強いんだな
0448Socket774
垢版 |
2021/10/19(火) 16:00:27.30ID:MDvv3NP3
>>446
M1がすごいのか、Intelがゴミなのか…
CPUで圧倒的な経験があったのにこんなに早く惨敗するなんてIntelは何してたんだ?w
開発のスピード感が全く違うし、今後Intelが生き残る道筋が見えん
Microsoftも大金払ってAppleと交渉して古いM1でもいいから使わせて貰わないと共倒れするぞ
0449Socket774
垢版 |
2021/10/19(火) 16:11:43.52ID:5q6qUQi1
>>431
シングルなら分かる
マルチになると話が変わってくる。アーキテクチャの善し悪しが表れてると思ってる

M1 Maxは製造プロセスを考慮に入れるとIntel, AMDと単純に比較できないけど欲しいな

macOSはいならいけどw
0450Socket774
垢版 |
2021/10/19(火) 16:16:08.38ID:5q6qUQi1
>>429
L1, L2, L3を増やしてIntelとAMDもやろうとしてる積層を使うくらいじゃね
x86はPC/AT互換機としての拡張性がウリだからメインメモリまで統合して値段を上げてもユーザーからの反発がすごそう(ラップトップならありだけど)

Mac Proに載ると言われてるM1X?もメモリを統合するのかな
もともと拡張性なんてあってないようなもんだしデスクトップもメモリを統合で問題ないのかもな
0451Socket774
垢版 |
2021/10/19(火) 16:51:23.31ID:lJ1ABNSU
>>448
MacはOSがアレなので、PROって書かれた性能の良いオモチャに過ぎない
0452Socket774
垢版 |
2021/10/19(火) 17:08:35.87ID:Up1Tzdd1
AlderにはAVX512が「物理的に」存在しないんだってな
本格的にクライアントではいらない子公式認定w
0453Socket774
垢版 |
2021/10/19(火) 18:02:56.18ID:z4M0+QOT
intelの7nmがTSMCの5nm相当だっけ
Intel4なら勝てたかもしれんが Alderはいらない子
0454Socket774
垢版 |
2021/10/19(火) 18:03:54.20ID:z4M0+QOT
5nm+か
予定通り来年Intel4が出るといいのだが
0455Socket774
垢版 |
2021/10/19(火) 18:15:04.37ID:sLzohN3A
本当に使えないのかは眉唾だけどな
Port5のFMA512が無いだけでしょ
0456Socket774
垢版 |
2021/10/19(火) 19:08:27.60ID:ZzQD4u7E
M1が凄いのは分かったからMacOS抜きで売ってよ
0457Socket774
垢版 |
2021/10/19(火) 20:05:19.92ID:S51FEc8A
自作出来たらライターによる性能全晒し見れるやん
0458Socket774
垢版 |
2021/10/19(火) 21:36:16.34ID:OvVUYWg5
>>450
DIMMを挿したら統合メモリをキャッシュとして使えばいいだけだからx86でもメモリ統合はできる
どちらかと言えばコストが問題で、M1 MaxはASPが高い=コストがかけられる=性能が高い という単純な図式だろう
似たような製品ならIntelの場合はSapphire RapidsからタイルとHBMを1つづつの構成で引っ張ってこれそうだが
どうみても数量が出そうにないので期待は薄い

信者をバラ色の広告で釣って、最後はアップル税制で徴収をかけるアップル
100ドル台〜のボリュームマーケットを中核にチップを売るIntel(販売後の取り立ては無し!)
ただ単純にビジネススタイルの違いが製品の違いになっている
0459Socket774
垢版 |
2021/10/19(火) 21:48:42.49ID:L5y8inWv
なおIntelからの徴収はないがMSに毎月お布施が必須です
0460Socket774
垢版 |
2021/10/20(水) 02:59:29.95ID:f+vB3bW5
>>458
dimmさして統合って……
転送速度とかレイテンシとか統合すればdimm刺しで改善されるとか思ってるの?
0461Socket774
垢版 |
2021/10/20(水) 03:01:30.56ID:f+vB3bW5
>>458
アップル税制って具体的になんだよ……
MSも林檎もOSは買い切りでアップグレードは無償だろ

なんか根本的にズレてんな
0462Socket774
垢版 |
2021/10/20(水) 06:51:28.64ID:FxqY1XjB
Apple税・・・税収は新製品の開発費に使う、長い目で見て顧客に還元される
代理店税・・・税収は利権維持のために使う、長期的に顧客が苦しめられる

この2つの税ならApple税納めたほうがいいわ、となるのはごく自然な事
中抜き専業の代理店税のほうがいらねぇ

建築業界ですら中抜き排除のために努力してるのに…
https://www.web-housing.jp/i-prime7/reason/images/fc_01.png
BTOメーカーも真似をするべきである
0463Socket774
垢版 |
2021/10/20(水) 07:52:56.61ID:njnrdsRr
CPU性能を上げたい > 買い替え
グラフィック性能を上げたい > 買い替え
メモリ増やしたい > 買い替え
ストレージ増やしたい > 買い替え
モニターを大きくしたい > 買い替え
ソフトのOS対応バージョン外 > 買い替え
よく壊れる > 買い替え
キズが付く > 買い替え

買い替え > 環境破壊
0465Socket774
垢版 |
2021/10/20(水) 10:41:22.03ID:Tseb1W4w
おそらくGAAではSamsungが業界初 → 次世代トランジスタ
PowerVIA (back-side power-delivery network)でIntelが業界初 → 次世代メタルスタック

Sanjayは7月のイベントで旧プロセスにPowerVIAを適用した派生バージョンを20Aより先行して出すと言ったが
その対象となるプロセスがIntel7になるのかIntel4になるのかはまだわからない
ただしスケジュール的にIntel3でないのはほぼ確実と思われる

というかI3はI4のハーフノードなので、設備への投資計画を考えるとI7が有力だろうか
2023年のプロセスロードマップは I3 + I7@PowerVIA の2本柱ということなのかもしれない
その場合Arrow Lakeのコンピュートタイルは2種混合ということになる
0466Socket774
垢版 |
2021/10/20(水) 11:11:50.44ID:9p9Z34Ne
サムは5もまともに作れてねーからな
業界初!以外にウリがない、N5Pに負ける3になると予想
0467Socket774
垢版 |
2021/10/20(水) 11:17:43.93ID:8OMydCRB
7nmですらフルイールドか怪しいもんな
Intelも実際にブツを出してから言わないと信じない
0468Socket774
垢版 |
2021/10/20(水) 11:44:54.22ID:KdJHBCaU
結局はTSMCが早くて品質も一番良かったってパターンが多い
0469Socket774
垢版 |
2021/10/20(水) 11:54:13.19ID:f+vB3bW5
>>464
理屈ではどうとでもいえる
特にインテルは実績で示さないとな
10nm, 10nm+, 10nm++, 10nm+++, 10nm++++とか統合どんだけ引っ張るんだよ
何言っても相手にされない一昔前のAMDみたいにはなっちまったな
0470Socket774
垢版 |
2021/10/20(水) 11:59:10.40ID:w4wMCf0S
一昔前のAMDはシングルスレッド性能がざっくり言ってIntelの半分しかなかったわけだが…
0471Socket774
垢版 |
2021/10/20(水) 12:08:04.70ID:w9MYZhNs
AMDは超ガンバッタ、それだけだ。
まちがえない舵取りも必要だが。
0472Socket774
垢版 |
2021/10/20(水) 12:48:47.26ID:ohly0Qk8
>>464
Samsungはまともに生産できるようになって性能良くなってから語ってくれ
0473Socket774
垢版 |
2021/10/20(水) 12:50:16.96ID:ohly0Qk8
>>468
というかそのパターンしかない
完全下位互換のSamsungはzTSMCより安いから使われてるだけ
0474Socket774
垢版 |
2021/10/20(水) 13:03:17.99ID:ICL2cgv5
上位:下位互換
|
下位:上位互換
0475Socket774
垢版 |
2021/10/20(水) 13:16:05.18ID:ubHK1Klf
5nmの発売が迫ってる頃に出したゲフォが8nmだろ??
いきなり3nmに行けるとは全く思えん
NANDのフォーラムにも出席できんほど進歩遅れてるし
0476Socket774
垢版 |
2021/10/20(水) 13:40:21.11ID:hv35e+4G
サムって製造以外の面で揉めそうじゃね?
0477Socket774
垢版 |
2021/10/20(水) 13:48:57.44ID:KiEPndO7
>>460
iGPUでグラフィックス性能出すのは諦めてモバイル向けdGPU使えば性能は追い越せるし
値段も相当安くできるからWindows PCの場合はAppleの後追いはしないんじゃないかな。
Kaby Lake-G が M1 Max 的なポジションにいたけど
数万円高い程度なのに結局後継CPUが出てないところを見ると
需要自体はあるにしても製品企画を継続するには足りないんだろう。
0478Socket774
垢版 |
2021/10/21(木) 04:38:45.39ID:BUM8Nch7
えっSamってNANDコケてんの?
0479Socket774
垢版 |
2021/10/21(木) 07:11:48.37ID:Z8CcnU6v
【2021】
TSMC N5P > Samsung 4LPE > Intel 7

【2022】
Samsung 3GAE > TSMC N4 > Intel 7

【2023】
Samsung 3GAP > TSMC N3 > Intel 3

【2024】
Intel 20A > TSMC N3E > Samsung 3GAP

【2025】
Intel 18A > TSMC N2 > Samsung 2GAP
0480Socket774
垢版 |
2021/10/21(木) 07:40:34.86ID:ItyTkH/y
各社その工程予想のうち何割が達成されるかねえ
0481Socket774
垢版 |
2021/10/21(木) 15:05:46.08ID:0j25rfZ3
>>479
TSMC N3は2022年量産だろ
やり直し
0482Socket774
垢版 |
2021/10/21(木) 15:07:42.40ID:0j25rfZ3
>>480
TSMC以外は達成できても歩留まり悪い、性能出ないってなりそう
0483チンパンMkII
垢版 |
2021/10/21(木) 22:09:42.49ID:TL0bSXRg
>>435
なんや?ミドルエンドって
キチガイか?
0485Socket774
垢版 |
2021/10/22(金) 01:45:07.65ID:BStW1P8v
>>484
As a result, while mass production of the first chips using TSMC's N3 node will begin in the second half of 2022, the company will only be shipping them to an undisclosed client for revenue in the first quarter of 2023. Many observers, however, expected these chips to ship in late 2022.
0486Socket774
垢版 |
2021/10/22(金) 02:50:36.90ID:BTXX2r8/
TSMC N3が間に合わないので2022のiPhoneはN4
これが全て >>408
0487Socket774
垢版 |
2021/10/22(金) 03:04:31.34ID:BStW1P8v
>>486
引っ込みつかないバカ?

自分で>>484でソース示してるじゃんw
iphoneの発売とか知るかw
N3は2022年量産
0488Socket774
垢版 |
2021/10/22(金) 04:15:24.34ID:BTXX2r8/
製品の出てこないTSMC N3が2022という扱いならIntel 4も2022じゃん
>>479はどうみてもそういう意図で書いてない
0489Socket774
垢版 |
2021/10/22(金) 06:54:37.45ID:z39eAim6
intelEUVは技術的な問題を除いてもEUV露光装置の台数の問題もあるからなそう簡単に量産は出来ない
EUV露光装置はFabの設置台に設置してからも初期調整の6カ月、量産調整に9カ月掛かるからな

みずほセキュリティーズアジアの分析だと
2023年には2018年以降のEUV露光装置の購入台数の累計はTSMC133台、Samsung64台、Intel20台となると分析している
そして2024年まで予約で一杯で2024年になるとさらに差が広がると分析した
0490Socket774
垢版 |
2021/10/22(金) 07:27:28.84ID:z39eAim6
それと2020年暮れのASML投資者向け電話会議でASMLのCEOが2021年のEUV露光装置をA社が2台キャンセルしたので
B社に納品すると報告した。TSMCやSamsungがキャンセルするとは考えにくいのでIntelがTSMCと何らかの取引をして
自社分をTSMCに譲ったとの憶測を呼んでいる。
0492Socket774
垢版 |
2021/10/22(金) 07:43:10.07ID:z39eAim6
輸出できなくとも国内需要がある、それに技術開発にはEUV露光装置が必要だし今の中国には正式に確認されたEUV露光装置は1台しかない
ので考えにくい、しかしトランプがオランダ首相をホワイトハウスに呼びつけ中国へのEUV露光装置の輸出を禁止させたので実質中国は手に出来なくて
中国国内でのEUV製造研究に支障を来している
0493Socket774
垢版 |
2021/10/22(金) 07:49:52.26ID:z39eAim6
ASML一方的にキャンセルは出来ないと推察されるオランダが出来ることは輸出の禁止でその分を他社に回すことは考えられるがc注文のキャンセルは考えにくい
0494Socket774
垢版 |
2021/10/22(金) 07:59:12.35ID:z39eAim6
ちなみに2021年度分のEUV露光装置の注文予約はTSMC64台Samsung26台?Intel2台その他1台となっているが
ASMLの製造予測は40台以下となっている
0495Socket774
垢版 |
2021/10/22(金) 11:03:12.34ID:hF31GM9z
>>479
学生のときに勉強のスケジュールを立てるのが好きだったろ?w
それとその表にIntelを載せる意味あるの?どうせ遅延、遅延、遅延の連続だろ
2025年までIntel 7++++とかやらかす未来しか想像できない
0496Socket774
垢版 |
2021/10/22(金) 12:10:36.18ID:BTXX2r8/
Intel 3Q21決算
https://www.intc.com/news-events/press-releases/detail/1505/intel-reports-third-quarter-2021-financial-results
DCGが2桁の成長を記録
7月くらいにゲルが発言した通り、Ice Lake-SPは売れているようだ
4月の発表以降、100万ユニット以上を出荷し、4Qには更に100万ユニット以上の出荷を見込んでいるとのこと
Tiger Lakeの出荷数は今年中に7,000万ユニットを超える見込みで、こちらも過去最速のペースで売れているらしい

ただ全体的にはコロナの影響がもっとも大きな影響因子で、CCG、DCGともに業績は乱調
やはりサプライチェーンの安定化が最も重要な課題であるようだ
0497Socket774
垢版 |
2021/10/22(金) 12:24:44.15ID:dKBNkVlM
金属シリコンっていう最上流が値上がりしまくってるからな
当分半導体の値段は下がらん
一番痛いのは需要の高い旧世代プロセスも一緒に値段爆上げな点だな
■ このスレッドは過去ログ倉庫に格納されています

ニューススポーツなんでも実況