【MeteorLake】次世代CPU雑談スレ16【ArrowLake】
レス数が1000を超えています。これ以上書き込みはできません。
次世代モバイルCPUについて語りましょう。
前スレ
【SunnyCove】次世代モバイルCPU雑談スレ15【IceLake】
https://egg.5ch.net/test/read.cgi/notepc/1548994436/ Rapter lake refreshでどれだけ性能上がるのか楽しみ。 4年ぶりの新スレかよ
かつては荒らしのせいで機能不全になってたんだっけか >>3
自作板に行ってどうぞ
ノート板ならMeteor Lake, Lunar Lakeじゃないか
Arrow Lakeはデスクトップが先行するとかしないとか
Meteor Lake ('23Q3~) -> Lunar Lake ('24Q4?~) -> Nova Lake ('25~?) そういえばCore iブランドは現行モデルで終了で、次(Meteor)からはCore Ultraという新ブランドに移行するそうね
Refresh系は付かないみたいだけど またUltraかよ
Ultrabook提唱して全く定着せずに終わった過去があるというのに 3、5、7、9が全部i→Ultraになるみたいだが
そうなるとCore i7からCore Ultra7になるのか…アイスラッガー放ちそう TSMCのN3がEUVの二重露光に挑んで泥沼の状況らしい
最新のロードマップでN3はN5との比較すらされなくなった
今年後半のApple M3(TSMC N3)とMeteor Lake(Intel 4)の対決は興味深い Meteorlakeは最大クロック下がるコア数減るでデスクトップのハイエンドには展開できないという判断だが、モバイルではおいしいところを使えるだろうかね
待てるならIPCが大きく向上するArrowlakeだがこちらはデスクトップを優先してモバイルは遅れそうな気配がする Intelの場合はプロセスではなくASMLの納期遅れ(新型コロナによる半導体サプライチェーンの以下略)が原因
アイルランドのファブが昨年末にやっとEUVの光源を得るという状況で、今年提供のMeteor Lakeはまともに稼働できるファブがオレゴンのD1Xしかない
そんなわけでデスクトップ向けの8P8Eのダイはキャンセルされたと言われている MeteorLakeくんは7nm版IceLakeって感じかな
モバイル先行じゃなくてモバイル向けしか出ないって事なら省電力全振りできるだろうから
Lunarまで待たずに搭載モデル買っちゃおうかな >>10
> 今年後半のApple M3(TSMC N3)とMeteor Lake(Intel 4)の対決は興味深い
比べるならM3じゃなくてZen5じゃないかな
M3と比べるならx86のMeteor LakeじゃなくてQualcom (Nuvia)のOryonだと思う
>>12
詳しいですね
Intelは10nmでクロックを上げられずにモバイル向けを先行させた過去があるから
どうしても先入観で「悪夢の10nmの再来」みたいに思ってしまう >>13
> MeteorLakeくんは7nm版IceLakeって感じかな
Intel「4nmだから!(怒」
Lunar Lakeは省電力向けの少量出荷。モバイルの本命はNova Lakeっぽいね(本当か?
Nova LakeからRoyal Coreになるかもしれないと噂されているし、
Meteor Lakeで買って様子見するのが良いと思うわ
自分はMeteor LakeのXPS 13 Plusを買うつもり
発熱もましになるだろうからファンクションが物理キーになってくれることを願ってる Intel 4の素性が良いことは昨年6月のVLSIでわかっていたが、コンディションもよいことが今年になって出てきた製品のリークからわかっている
逆にTSMC N3はアップルですら製品への採用を大幅に遅らせつつあるなど、かなり悲観せねばならない感じ
Samsungの3GAEは長らく沈黙を保っており、良い兆候とは言えない
俯瞰するとEUVマルチパターニングやGAAといった野心的な目標を掲げたライバルが脱落していく中でIntelだけ快走しているというのが現状
2017年とは180度転回した構図がそこにある ファンクションキーが物理のXPSってそれもうただのXPS13では…? >>8
(14nmが大成功して殿様商売で胡座をかいてたのもあるが)10nmで銅からコバルト配線への移行に失敗して開発が難航し
初の10nmとなったCannonLakeはものの見事に大失敗、チックタックの崩壊まで招き14nmを擦り続けざるを得なくなりRefreshの導入という苦肉の策を導入
歩留まりを改善した10nm+も結局14nmをシュリンクしただけ=Skylakeの延長線上に過ぎない保守的な設計を10年近く延々々々擦り続け
他社に対して防戦一方という状態が続いた現状とようやくおさらばできるのだから、そりゃあ心機一転かつこれまでとは違うという事で変えたくもなるでしょ ・22nm以降のFinFET世代はシュリンクしただけではまともなPPAを達成できないので、工学的にありえない → DTCOでググれ
(そもそもIntelは設計力が余ってるので、シュリンクしただけの製品なんて出す必要がない
つい最近のネタだと、Emerald Rapidsでも強烈に物理設計を最適化してきていることが判明した=Sapphire RapidsのIPの再利用が少ない)
・Intelの10nm世代はFEOLもBEOLも14nm世代とは全く別物で、とりわけクリティカルなレイヤでは似たところを探すほうが難しい
・Sunny CoveはSkylakeとは異なるuarch
他にもツッコミどころはあるが明白に認識が誤っているのはこのあたり >>15
Intel4って7nmの事じゃなかったっけ?
Intelの7nmは同業他社の6~4nm相当でもあるらしいけど >>17
ゼロラティスキーボードとタッチパッドも違うよ
>>20
7nmのことだけど「同業他社の6〜4nm相当でもある」から呼称を他社に合わせたわけでして >>16
> Intelだけ快走しているというのが現状
それはモノがでてみないとわからないでしょう
来年、再来年と、デスクトップ版をリリースできないなら「10nmの再来」と言われてもやむを得ない 最新のリークネタを交えて補足しておくと
Meteor LakeはES1の時点で4GHzを超えていたし、既にメーカーはQSを手にしており、オレゴンのファブでは製品の生産が始まっている
そして45W版のMTLが最高5.4GHzという話が出てきている(上述のタイミング的にほぼ確定)
55W版の13950HXが最高で5.5GHzだから、Intel 7 UltraのRaptor LakeとIntel 4で実現する最高クロックが変わらないことがわかる
そもそもBEOLのスペックを見れば理論的にIntel 4の方が実現できる最高クロックが高いのはわかっていたこと
どちらかと言えば不安材料はIntel 4の歩留りであり、Foverosの採用によるSoC全体のデバッグの困難さだった
しかしこれらの不安は現実にはならず製品のローンチは目前にある RaptorLakeRefreshとMeteorLake併売すんのか
前者はCoreiのままで後者はCoreUltraにブランド改名して出荷するとかで TSMC 4nmだからな、それ。Raptor Lakeは10nm(TSMC換算で言えば7nm)
AMDerは頭が悪いから理解できないんだろうけど、
10nmでZen4と互角に渡り合えているインテルはなんだかんだで凄いよ(しかもP+EでTDが働いているし)
IntelがMeteor Lakeを急ぐ理由がよくわかる Redwood Cove
フロントエンド:L1キャッシュの増強、分岐予測の改善
バックエンド:uOpsキューの増強、命令レイテンシの改善
メモリサブシステム:L2$の増強(バンド幅、プリフェッチの改善)
規模感的にはSkylake → Palm Coveくらいの拡張かな?
IPCは5%くらいの向上に留まる予感
ADMキャッシュで+5%くらいのブーストを積んで合計で2桁%のIPC向上を目標にしていると予想
ただしバックエンドの拡張をどのくらい頑張るかが少し曖昧なので、
ここで大手術をしてればRWCコア単体で10〜15%くらいIPCが上がる可能性はある インテル12世代買うか悩んでいたら気がついたら14世代になっていたわ >>26
後者はモバイルだけだから併売といってもCPU単体で市場には出回らないと思う
出回るとしても、NUCとして少量が出回るくらいじゃないかな 昨年から立ち上がっているはずのSamsungの3GAEだったが、製品の投入は(ファウンドリ顧客の採用が)無いようで事実上キャンセルされたらしい。
2024年の3GAPでTSMCより早くGAAを採用することで挽回するとしている。
既出の通りTSMC N3も半分死んだような状況なので、2023年の製品で最も高性能なプロセスを採用するのはIntel 4のMeteor Lakeと言って良さそうだ
(ごく僅かな数量、つまりiPhone Proのようなハイエンド製品にのみN3採用チップが載ると考えられている)。
では来年はどうなるだろう?
2024年にHVMとされているプロセスは性能順に、Intel 20A = Samsung 3GAP > TSMC N3E > Intel 3
なおランプアップのリスクの高さ(困難さ)、時期、ともにこの逆の順番になる可能性が高い。
アナリストの分析によればTSMC N3Eは歩留りとパフォーマンス/コストの改善にASMLのNXE:3800E(未発表の最新鋭EUV露光機)を要するという。
また他の分析ではAppleの採用動向から、N3ファミリの歩留まりが報道されている数字以上に悪い可能性が指摘されている。
ここで重要なのはTSMC N3Eよりも技術的なハードルが低いプロセスはIntel 3のみという点だ。
その一方でIFSは事業としては未成熟なのが現実であり、2024年におけるサブ3nm世代の情勢は極めて流動的だ。
なおIntelの製品ではArrow Lake-P/UでコンピュートタイルにIntel20Aを、Arrow Lake-SでTSMC N3を採用すると噂されている。
またGranite Rapids/Sierra ForestのIntel 3採用が公式の情報で確定している。 なんかやたらIntel Fabの優位性を強調したがる人がいるけど
まだ一つもモノが出てきていないんだから結論づけるのは気が早すぎる
もともと昨年末にはMeteor Lakeがリリースされる予定だったのが後ろにずれて
苦肉の策としてRaptor Lakeなんてモノを入れたくらいIntelの製造プロセスはぐちゃぐちゃ
株主さまに文句を言われたくないから「順調です!」なんていってるけどモノが出てみないとわからん
↓に尽きる
インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ
https://ascii.jp/elem/000/004/097/4097395/3/
> 問題は「どれだけちゃんと作れるの?」というあたり。
> インテルの説明によれば、今年後半にIntel 4の量産に入るという話だが、
> 昨今流れている情報ではMeteor Lakeの投入は2023年後半になるとされる。
> いくらなんでもリードタイムが長すぎないか? TSMCのN3が失敗しつつあることは2021年の8月にはアップルの動向から疑われ、同年の12月にはかなり具体的なリーク情報が出回っていた
Intelが優位というよりもTSMC(とSamsungが)Intelが直面した困難以上に派手に転んだというのが正確な理解だろうな ちなみに大原雄介はある時期から仕事を干されるようになりでもしたのか、Intel関係の記事はほとんど一切の取材しないで書くようになったので、現在ではもっとも参考にするべきではないライターの一人
その連載における最新のIntel記事を読めばわかるように、なんとGranite Rapidsのファーストシリコンが昨年の第3四半期に出ていた事実を今年の4月になっても把握していないという体たらく
(昨年のIntel Innovationで実機デモがあったにもかかわらず!) https://pc.watch.impress.co.jp/docs/column/tidbit/1498583.html
この記事でも(N3の開発は難航したが)TSMC>インテルやサムスンって感じで競合はいない、TSMCの独走は続くって断言しとるな
あとアップル向けはN3B、それ以外はN3Eって分化したのは知ってたが
そこから更にPとかAEとかXってなるのは初耳だったわ >>37
言い負かされて悔しいからって個人をおとしめるようなこと言ってんなよ
どんだけ性格が卑しいんだ >>39
サムスンもTSMCに負けていることを認めて「5年後に追いつくキリッ」って言ってるみたいだね
Intelは順調、順調って言ってるだけで、実際にはモノが何一つでてきていない
やったのは、製造プロセスのリネーム商法()
謎のIntel Fab推しは現実を見ろ Intelも10nm世代は本当にいいとこなしだからな
モノを予定通り出してそれが圧倒的コスパで評価されない限りはちょっと信用できない N100とかようやくmicroatxとかminiitxでポツポツ売られ始めてきた
いやー、低電圧版のcore i7とか売られなくなって久しいけど
こうやってファンレス、低消費電力のatomが出てくれると自作の幅が広がるし茄子とかも組みやすくなる
スレチだけど >>34
iPhone Proがごく僅かな数量っていうのは現実を知らなさすぎる
世界のiPhone出荷台数が年間2億台くらい
そのうち半分はProかPro Max
iPhoneのハイエンドが3nmに移行するっていうのは1億台くらいを意味する
世界のPC出荷台数が年間3億台くらいだから
その1/3くらいの規模 半導体業界で1億はしょぼすぎる数だよ
君こそ出直しておいで まだモノが一つも出てきていないのに
intel fabが優秀とか言っちゃう人は出ていって TSMC、Intel、Samsung、Micron、IBM、imec、AMATの首脳陣が1nm世代の量産に向けて岸田首相と対談
・熊本のTSMCファブへの補助金が拡大
・TSMCとは7nm以下のファブについても交渉中
・RapidusはEUVを既に入手しており、北海道でIBMの2nmプロセスを2027年に量産する計画
ここでAMATの名前が出てくるところに関係筋の価値判断が見てとれて興味深いな
やはりCentura Sculptaはキラーコンテンツだったのか Intel×理研は良い方に転ぶのか不透明だけど
TSMC熊本工場は台湾有事に備えての経済安保政策の一環でもあるから政府も大分気合入ってるな 骨の髄までしゃぶり尽くされる日本
承認欲求が強く、虚栄心の塊のような岸田は自分の実力と勘違いして大金をばらまく
TSMCに金をくれてやるなら
火事になって再建が取りやめになった旭化成の工場を税金で作ってやれよ 放火ならともかく失火によるものを税金で補ってたら他社もやりかないから駄目じゃね? 旭化成の工場が1つ消えてもそれ程困らんが
TSMCの工場が消えるのは世界の半導体インフラに大きな悪影響が及ぶという冷徹な判断でもある TSMCやサムスンだけでなくマイクロンも5000億円使って広島工場の生産能力強化に乗り出すし
imecもラピダスの北海道工場建設に合わせて自前の開発拠点設置を検討してる
経済安保と空前の円安によって海外の半導体企業がこぞって日本国内に投資をしようとしてるのは良い事 Rapidusは30年代に年商1兆円と言ってるけどこれは取り敢えず核燃料サイクル(もんじゅ)くらいの信頼度で受け止めておくべき
一方で再エネや核融合への移行がリアリティを増しているのは事実なので、その基盤となる半導体サプライチェーンへの国内投資は必須ではある Intelがring 1,2や16bitアドレッシングモードなどのレガシーな機能を切り捨てた
64-bit mode-only architecture に関する情報を公開したらしい
大昔のIA32ELは大失敗だったがソフトウェアスタックが極めて重厚長大になった現代になりやっと、という感
5年後か10年後かわからないがx64の場当たり的で醜い拡張にも手が入るんだろうな >>59
いよいよ128bitの背中が(錯乱
> 64-bit mode-only architecture に関する情報を公開したらしい
長かったな
Windowsが64bit mode onlyになる日は果たして訪れるのであろうか(遠い目 Intel、新「X86-S」アーキテクチャで8086互換を切り捨て
https://pc.watch.impress.co.jp/docs/news/1502222.html
> ただし、64bit環境下で32bitアプリを動作させるための「Compatibility Mode」は残す
さすがに、無理かw これでダイサイズが小さくなるとか処理が効率化されるとか何かメリットあんのかね 設計に余裕ができるからサイズも小さくできるかな?処理は効率化できるよね
32bitを完全に切り捨ててくれるとなおよかったけど、Windowsはユーザーの資産を考えると難しいね ああ、確定じゃなくてまだパブリックコメントを求めている最中なのね
16bit/32bitサポートの“終息”でより高性能なCPUを――Intelが64bitオンリーの「X86-Sアーキテクチャ」の仕様を初公開 意見募集中
https://www.itmedia.co.jp/pcuser/articles/2305/22/news123.html 16bitはエミュでも構わんと思うが、32bitは流石に厳しいでしょ
128bitが広まってからとかならともかく IntelがFalcon ShoresのCPUとGPUの混載プランをキャンセル
Falcon Shoresは純粋なGPUとしてHPC市場へ供給される予定
理由はHPC向けのアプリもワークロードがダイナミックに変化しており、結局のところ拡張カードで追加していく方式のほうがコスパで有利とのこと
まあ単に物理的にCPUとGPUを混載するだけならそれこそWestmereでやってるし、クライアント向けの製品ではずっとそれが続いている
他方HPC向けの製品ではとなると、CPU兼GPUに需要がないという教訓はLarrabeeことXeon PhiでIntelは得ているので……
要するにもっともっとムーアの法則が鈍化して消費電力が今以上にクリティカルな問題にならないと混載に至らないようだ ゲルシンガーの撒いた種が芽吹くのはもうすぐ
アムダーがネガキャンしようが最後にインテルが勝つ AMDerではないがゲルガーとかいってるアホを見ると笑っちまう >>66
Intel Talks Falcon Shores Flub, Merges Habana Gaudi Roadmap
https://www.tomshardware.com/news/intel-explains-falcon-shores-redefinition-shares-roadmap-and-first-details
"Intelは、顧客がカスタム設計でさまざまなCPU/GPUの比率を結びつけることができる組み合わせ可能なアーキテクチャを実現するために、CXLインターフェースを活用すると述べています。しかし、CXLインターフェースは要素間のスループットが64 GB/sしか提供しない一方で、NVIDIAのGrace HopperなどのカスタムCPU+GPU設計では、CPUとGPUの間で1 TB/sのメモリスループットを提供することができます。これにより、特にメモリ帯域幅を必要とするAIワークロードにおいて、パフォーマンスと効率の両面でCXLの実装よりも優位性があります。要素間の遅延の低さや、より高いパフォーマンス密度などの利点も考慮すると、CXLインターフェースに対して多くの種類のワークロードでパワー、コスト、パフォーマンスの面でAMDのMI300やNVIDIAのGraceに競争することは困難であると言えます。
つまり、Intelのより組み合わせ可能なアーキテクチャのアイデアは一部のワークロードには適していますが、特定のアプリケーションにおいては、電力、コスト、パフォーマンスの面でAMDのMI300やNVIDIAのGraceに対抗することはおそらくできないでしょう。" その 特定のアプリ=ニッチ需要=売れない という夢のない話
実質的にキャンセルされたのはFalcon Shoresであって、Rialto Bridgeではなかったというね
(厳密にはどっちも消えたっぽいが) 4次キャッシュよりも、P+E+LEの構成になるかもしれないってことが気になる >>61
大半のコアは64bitにして、
32bitいけるコアを2個だけ用意するとか、
そういう解決策もありだよね intel-gfx-ci.01.org/tree/drm-tip/CI_DRM_13187/bat-mtlp-8/boot0.txt
Meteor LakeのQSと思われるチップが確認される
製品ローンチは目前 RaptorLakeを載せたラップトップが各社まだ出たばっかだからなー
MeteorLakeを載せた奴は出るまでしばらく時間かかりそう いよいよか
出荷は6月って前々からいわれていたからね
でも、Meteor Lakeを載せるラップトップ一番乗りはなんなんかな?
Raptor Lakeを載せて出荷しているものばっかなんだよなあ…… メジャーなところだとSurface Pro10が可能性としては一番高いけど
あれはあれで今年の発売はないっていうリークがでているしなあ
VAIO S/SXシリーズはRaptor Lake搭載モデルをだしていないから、それが載せてくるかな? Core Ultra 7 1003HというネーミングからRaptor Lake-Hのi7の後継製品だと思われるが
i7-13700H@2.4GHz比で40%、最上位のi9-13900HK@2.6GHzと比較しても動作クロックが30%向上している
昨年のVLSIの発表ではCortex-A7を使ってクロックの20%向上を実証していた
ここからIAへの最適化で10〜20%積み増ししてきた形になる もしかしてそうなるんじゃって言われてたが本当にウルトラセブンになってしまうのか fuse.wikichip.org/wp-content/uploads/2022/12/hp-density-14nm-5nm.png
Intel 4強かった https://texal.jp/2023/05/27/the-powerstar-cpu-advertised-as-made-in-china-was-still-made-by-intel/
先日発表された中華製CPUの実態はCometLake(Core i3-10105)のOEM品らしく
これをロシアがアメリカの輸出規制対策で中国からの迂回輸出で入手する可能性があるとかでIntelが商務省から呼び出しを喰らうかも知れないらしい >>72
これ記事を流し読みした時点で大原に突っ込みを入れようと思ってたんだけど
まずAlder Lakeはアンコア部分が56平方mmくらいある
これをアップグレードなしの同一機能のままでIntel 7からTSMC N6に移行してもエリアサイズはほぼ縮小しないはず
そこにIntel 14nmで製造されていたPCHが統合されることになるんだが、こいつの面積も54平方mmくらいある
こっちはN6への移行で半分くらいになると見積もっても合計で83平方mm
Meteor LakeのSoCタイルは95平方mmだから64MBものSRAMを積む余裕はないっていう
現実には世代が進むごとにVPUやらなんやらで機能はリッチになっていくのだから、なおさらね
逆にMTLのBaseタイルは185平方mmくらいで、Intel 22nmなのでかつてのeDRAMを使用できる
(採用があるならSkylakeの世代よりアップデートした第3世代のeDRAMを突っ込んでくると思うが)
eDRAMなら64MBでも70平方mm弱で済むので、TSVのペナルティの考慮しても128MBくらいは押し込めるだろう
それでこいつのタグをキャッシュラインサイズを64Bとしてダイレクトマップと考えても6MBくらいなので、SoCタイルに統合できる計算 まあCometLakeでも最低限必要な性能は得られるだろうけど、しかし西側が第13~14世代に差し掛かってるのに
東側は第10世代を騙し々々で使わざるを得ない状態になりつつあるのって、かつてのCOCOMが存在してた頃の世界を思い出すな www.computerbase.de/2023-05/intel-meteor-lake-cpu-mit-vpu-einheit-fuer-kuenstliche-intelligenz-im-hands-on/
ComputexでMeteor Lakeの実機が確認される
ベースクロックは3.1GHz、ゲーミングノートには見えないのでMeteor Lake-Pか
i7-1370P@1.9GHz比で60%クロックが向上
Intel 4のスィートスポットは15W-30Wあたりにありそうな雰囲気 クロックより、消費電力と発熱がどんだけマシになるかが気になるわ
NPUはそれなりの性能を持ったものっぽいね >>87
AIイラストの生成は速度の問題で実質dGPU必須なのが難点となってるが、それを解消できるなら凄い事だな ファンレスを維持し、NPU活用で最強のWeb会議用モバイルPCになった「Surface Pro 9 with 5G」
https://pc.watch.impress.co.jp/docs/column/ubiq/1450404.html
ArmはすでにNPUが載ってるんだよな
x86でもThinkPad X1 Carbon Gen 10にはLattice社製のNPUが載ってるみたいだけど
Meteor LakeとZen4のモバイルだっけ?でCPUに統合してくると
メーカーが独自に載せることもなくなるんだろうけどね >>78
SurfaceがIntelのCPU一番乗りになったことあるのかね?
むしろ他のPCメーカーより採用遅いイメージしかない >>93
そら、発売がアメリカの入学シーズンに合わせた9月10月だからな
IntelのCPUの発表から発売はQ4だからあわないのはとうぜん
Meteorの出荷はいつから始まるのかを考えて、ちょっと頭を使えばわかるとおもうけど NVIDIAが次世代のBlackwellをIFSで製造する可能性があるらしい
既にファーストシリコンを手にしており良好なパフォーマンスを得ているとのこと
時期的にIntel 3のことだと思われる アメリカの入学シーズンは夏だから
春のうちに製品投入しないと買ってもらえないよ
10月に製品投入するProはホリデー商戦向けで
5月に製品投入するGoの方が学生向けだね Meteor Lakeは本来去年の年末に出るものだったから
今年のデバイスには間に合うんじゃね 24Q4 Arrow Lake
25Q? Panther Lake
26Q? Beast Lake
・Arrow LakeはST perf.が30〜40%向上(典型的にはIPC 20%, クロック10%アップ)、MT perf.も40%向上。最大構成は8P32E
・Panther LakeはST perf.が30〜40%向上、MT perf.は15-20%向上。最大構成は8P32E
・Beast LakeではExtra Bigコアの開発が進行中。 この世代からExtra Big, Big, Smallの3種ハイブリッド構成になる可能性が出てきた Meteor Lakeは昨年にリークがあったとおりP+E+e(Low Power E)のトリプル構成になるのか ExtraBigって何に使うんだ…?物凄く負荷の掛かる作業とか? Extraなのか?
Meteor Lake-Pは、P-core, E-core, e-core (昨年のリークではLE-core) らしいが
ExtraBigが本当なら高負荷のかかるエンコとかで動いて
サーマルスロットリングに達するまで回り続けるんじゃなかろうか MTL-P(28W)のES2は最大4.5GHzで動作していたとのリーク
ALD-S(125W)のES2が最大4.6GHzであったことを踏まえると、
MTL-Pの5GHz超えはかなり確度が高いと言える情勢 2年前のロードマップと比較するとNova Lakeが消えた
Panther Coveもキャンセルされ、Panther LakeではCougar Coveが採用されるとか
2024 Intel 20A(旧式のネーミングでは5nm)
2025 Intel 18A(旧式のネーミングでは5nm+)
2026 Intel 16A(旧式のネーミングでは5nm++)
リークしたロードマップだと上の構図であることがわかっていて、
大きなuarchの拡張はPanther LakeとBeast Lakeにある可能性が高い 3nm以降ってどうなってるんだろ
昔のロードマップだと1nmまでは予定してた様な憶えがあるけど >>102
MTL-Pはやっぱりキャンセルされたって話しがでてきてるけど
>>103
今回出てるリークはどっちかっていうとデスクトップの話じゃないの
Novaの話はともかくLunarに言及してないのは腑に落ちんな で、やっぱりP+E+eの構成なんだね
CPU TileにP+E
SoC Tileにeが2つ
ArmのBIG.LITTLEのようにCPU tileの電力を遮断してSoC tileだけで動かせるようになる
なんちゃって、BIG.LITTLEの汚名返上だな
MTL-Pが本当にキャンセルされたなら
10nmの悪夢の再来、Ice Lakeの再来かなー
昨年の時点でPanther lakeのリークはあったし
10nmから続いている、モバイルとデスクトップで異なるコードネームがintel 4以降もしばらくは続くかもしれんな >MTL-Pはやっぱりキャンセルされたって話しがでてきてるけど
キャンセルされたのはMTL-S
>>12
>Lunarに言及してないのは腑に落ちんな
Lunar Lakeはメインストリームではなく、2020年のLakefieldのような傍系の製品だと言われている
>10nmの悪夢の再来、Ice Lakeの再来かなー
>>102
>10nmから続いている、モバイルとデスクトップで異なるコードネームがintel 4以降もしばらくは続くかもしれんな
伝統的に言えばIntelはデスクトップとモバイル(とサーバー)で製品が異なるのが当たり前
ダイレベルで共通だったのは何気にMeromの世代まで遡る必要があるほど(Merom、Conroe、Woodcrest、Tigerton)で、経営を徹底的にスリム化するAMDとは戦略が違う
Alder Lake-Nですら別ダイなのがIntel、業界最後のIDMは伊達でない
ゲルの登板以降はIDM2.0で明白にマルチプロセス化しているので、今後は分化が進むことはあっても逆はないだろう 2024年に「ムーアの法則」が再び走り出す? Intelが「PowerVia」の近況を報告 Meteor Lake(仮)のEコアをベースに実証実験
https://www.itmedia.co.jp/pcuser/articles/2306/05/news176.html
インテルはムーアの法則手放したら死ぬ病にでも罹ってるのか? RibbonFETとPowerViaの開発が分離されてることは2年前のIntel Acceleratedで明言されていたし、決算でも何回か触れられている
開発責任者のSanjayは当時「RibbonFETがうまくいかなくてもPowerViaはIntel3と組み合わせて出す」とハッキリ言っていた
20A De-riskの名称は初見かな
だからARL-SのコンピュートタイルにTSMC N3Eを使うって噂があるけど、ちょっと疑わしい
それでも可能性があるとしたらウェハキャパシティの問題かね しらね
リネームしてからまだものが一つもでてきてないし
インテルは物言う株主が大勢いるアメリカの会社ですよ
アメリカ議会からも金をふんだくった会社
そら、順調っていっとかないと大問題だからな >>103
Nova Lakeとかってそれ公式のロードマップじゃなくて自称リーカー(笑)が好き放題言ってただけだろ >>103
すーぐ噛みつくんだから
Ultraだって自称リーカーがいってるだけだし
昨年の10月にMeteor LakeがキャンセルされてRaptor Lakeになる!っていうのも自称リーカー
おまえはこのスレには向いてないから出入り禁止な VLSI Japan: It’s Better on the Backside
www.fabricatedknowledge.com/p/vlsi-japan-its-better-on-the-backside
VLSIにてPowerViaの論文が公開された
ライターも記事中で触れているが、EDAツールで先行しているアドバンテージは非常に大きく
IDMの面目躍如と言ったところ Intel 4 + PowerViaの熱設計があまりにも秀逸で、AMDのエンジニアが慌てて質問をしたという話には笑った Core Ultraだと長いからCore Uでいい
ブルーバードUみたいでかっこいいじゃん シールきれいに剥がすの面倒だし色々ブランド増やすなよ
今後はevoシール+intel inside+core processor+arc graphics+core ultraの5枚貼らせるつもりか?w
量販店でシールやラベルベタベタ沢山貼ってあると安っぽく見えてしまうからやめれ 2019年 Intel 7
2020年 Intel 7
2021年 Intel 7
2022年 Intel 7
2023年 Intel 4
2024年 A20
2025年 A18
今ノート買う奴は正真正銘のバカ
2019年の型落ち買わされるのと同じ 予定通りに出てくるかさえ分からないインテルさんの最新プロセスを待つよりAMDかmなんちゃら買えばいいじゃんw
身も蓋もないけど Intelがドイツに建設する計画のファブ(を中心とした工業都市)
現地メディアによれば補助金の総額が99億ユーロへと増加する見込み
今週中に本決まりとのこと 日本円だと約1.5兆円
政府がTSMCと先端プロセスのファブについて話してるってことだったけど、これは無理そうな…… >>112
普通の人はCore 7とCore Ultra 5かどっちが上かわかりにくい
ネーミングミスったな >>120-121の確定報
www.techpowerup.com/310243/intel-german-government-agree-on-increased-scope-for-wafer-fabrication-site-in-magdeburg
ゲルシンガーの政戦両略がまた一つ前進 IDM2.0のアップデート
設計・製造部門の会計を独立に行うことでコスト構造を明確にし、合理化を進める
今年は30億ドルの経費削減、2025年には80〜100億ドルの恩恵を得られる見込み
1Q24の決算までにはリストラが完了し情報公開が可能になる
このリストラによってコアビジネスへの効率的な投資を進めていく 128MBのADMキャッシュを持つMeteor Lake-Pの存在が確認される
wccftech.com/intel-meteor-lake-p-core-ultra-cpu-spotted-with-64-eu-alchemist-gpu-128-mb-cache/
容量的にADMキャッシュの正体はeDRAMでほぼ確定 (>>83)
下位のtGPU(64EU)が備えていることを考えると
さしあたり14世代では、Core UltraブランドはADMの有無で付加されるかが決まりそう MRAMは20年前の予想を覆して、あまりロジックプロセスの微細化に追随できなかった
近年の学会での動向を見るとIntelはFeRAMに期待しているところが大きいようだ 関連の特許から推定するとIntelはFeRAMに移行することでGBクラスのLLCが実装できると考えているようだ
ただIEDMでの発表からは、まだ実現まで距離があるように見える
Meteor LakeのADMキャッシュの性能予測
ヒエラルキー:メモリーキャッシュ(=L4$ではない)
容量:128MB-512MB(?)
バンド幅:256GB/s-1TB/s(?)
レイテンシ:~30ns
リークネタだと最大512MBでテストされたって話だが、これはダイサイズ的に無いだろうということでシミュレータでの話だと個人的には思っている(Core Xブランドが復活するならワンチャン?)
現実的にありえそうなのは最大で256MBくらいまでかね……逆に容量の下限は128MBだと断言できる
64MBだとMTLでサポートするLPDDR5-7400とほぼバンド幅が変わらなくなって旨味が減ってしまう
Haswellで導入されたeDRAMは当初は垂直に統合されたL4$だったがSkylake世代でメモリーキャッシュへ変更された
システムアーキ的にもどう見ても合理的なのはこちらの方で、タイルへ移行したMTLでもほぼ確実にこれを踏襲するだろう
つまりMTLはL2$+L3$+ADM$で1スレッド当たり最大154MBのキャッシュを持つことになる
(GPUとのコヒーレンスとか考えると厳密にexclusiveなキャッシュとして振る舞うかとか、そんな話になるんだが割愛)
レイテンシはFoverosとeDRAMの論文から
SoCタイルでのarbitrationにどのくらいサイクルが費やされるか不明なので比較的に妄想成分高め
まあHaswellの時点で50nsを切ってるくらいだったので、悪くとも40nsは下回ると予想
乱暴にまとめるとMTLのキャッシュはSapphire RapidsのL3$に近い速度で、しかも容量は50%ほど多い Intel 4のSRAMはセルがかなり大きくて、特に競合と比較するとTSMC N5より密度が低いくらいだった
ここをeDRAMで補う戦略だったなら、SRAMのPPAで無理をしなかったのはmake senseで非常に納得がいった
(個人的にはeDRAMの復活を、それこそ今朝のニュースを見るまで疑っていたので尚更ね……)
逆にIntel 4はロジックの密度はN3並で(>>81)
FinFETの性能も、IEDMでのTSMCの発表を踏まえると、実はIntel 4のほうがN3より高いことがわかっている
MTLの競争力は『高い』というより『圧倒的』だと予想を上方修正するわ
なおARM A7を用いた実装での電圧と動作クロックの関係は以下の通り
電圧(V) / TSMC N3 (GHz) / Intel 4 (GHz) / 性能差
0.65 / 2.0 / 2.1 / +5%
0.85 / 2.8 / 3.0 / +7%
1.10 / 3.4 / 3.5 / +3% 吃りもあるしメガネもかけてるぞ
チー牛は食べないがな 早口だから聞き取れない(理解できない)ってことでしょ 長文で色々書いてるけど3行でまとめると
大容量eDRAM確定
Intelキャッシュ大幅増で3Dキャッシュ自慢してたAMDオワコン
MRAMみたいな非揮発メモリはまだまだ先
こんな感じか 一方、AMDはGenoa-Xとして3D Cache搭載したサーバー向けCPUを発表した…
またIntelはサーバー向けで勝ち切れない時代が続くのか…? まーた、ものがでてないのに長文で書いてるアホが湧いてんのか 次世代CPUスレで物が出てないのにとか言っちゃうアホが湧いてるな Granite Rapids-APのサンプルが販売可能な製品レベル(PRQ)に達していることが確認される
最大構成でTDP500W、フルロード時でも空冷で75度以下を達成しているとのこと
Intel 3の量産開始が2H23だから公約通りのスケジュールではある
ただこのまま行くとEmerald Rapidsから2ヶ月の間隔でリリースされることになるのだが…… Linuxカーネルの最新パッチで未だ変わらずMTL-Sのサポートが追加され続けているらしい
理由は不明だが、MTL-Pが少量デスクトップ向けに転用されるという説や単なるTypoと考える人もいるようだ IntelのCPUのIPCがApple Mシリーズに抜かれた理由ってなんなの?
今からAppleの設計をパクっても勝てないの? 素直にAppleの設計をパクれば
シンプルにスケールメリットで勝てるのではないか?
Macの普及率は10%程度しかない、他のPCはほとんどIntel
仮にAMDが20%と仮定しても70%はIntelの市場
AppleのMシリーズよりも7倍大量生産できます
製造業においてスケールが大きい方が安くて良いものが作れるのは常識ですよね AppleSiliconとか最早MeteorLakeの足元にも及ばんのじゃないか M3は凄いとかいう話じゃなかった?
でもまぁCPUも昨今は最早AIブン回すのとかiGPUの性能面が評価対象として重要だからねぇ
モバイル用はそれに加えて省電力もあるけど IPC って Instructions Per Clock のこと?
であれば CISC な x86 と RISC な AppleSilicon の比較に意味はないよ >>147
まあM1が出た当時のインパクトを言ってるんだろうけど、あれはN5に早期アクセスできたことが大きい
じゃあなんで他の企業がしないかというとコストパフォーマンスの問題
原因はトランジスタの密度が15~20%しか上がらないのにウェハの値段が25~30%上がるから
この構図は最新のTSMCの顧客情報から見てみるとわかりやすい
N3を使うのはAppleだけで他は全てN3Eへ逃げた
また現時点でN2の早期アクセスに関心を持っているのはAppleとNVIDIAだけだと言われている
(そして過去の実績から考えるとNVIDIAは脱落する可能性が高い)
なおIntelはこの問題を正面突破(=ハイパースケーリング)しようとして自爆した
>>150
狭義的にはそうなのだが、Cine Benchなどを使った比較は可能だし実際されている Lion Cove(Arrow LakeとLunar Lake)で、Hyper Threadingが無効にされる可能性があるらしい
ただしXeonでは依然として有効にされる見込み
ダークシリコンの問題が顕在化して以来、1つのコアをbusyにすることは必ずしも正しいとは言えなくなっている
加えてADLでハイブリッドアーキに移行したことが決定的だったのだと考えられるが、HTTが20年以上の歴史に幕を降ろすとすれば中々に感慨深い メテオは6月、7月に出荷といわれているが正式発表はないな
例年通り10月なんか?
それにしては、>>155のような次の次の発表が先行してるし
10nmの再来にならないことを願うわ 出荷が早くなっても搭載ノートが本格的に出回る様になるのはどうせ来年3~5月頃だろうしな そんなに遅いのはAMDだろ
Intelは発表して割とすぐに製品が販売される
ryzen6000も7000もモバイルの発表が1月、販売が6月だった 一昨日に流れてきたネタで様子見していたやつのアップデートがあった。
今日のアップデート:Arrow Lakeは全製品でTSMC N3(N3B)を使用する。
一昨日のネタ:Arrow Lake-HはTSMC N3を使用する。この結論が出るまでに社内で揉めた。
あちこちのコミュニティで色々と議論が盛り上がっているし、他に補完できそうなネタもあるんだが
このレベルの話は今月末の2Q決算で確度の高い情報が得られるはずなのでもう少し様子見。 >>156
> メテオは6月、7月に出荷といわれているが
これの一次ソースはComputexでの現地スタッフの発言
「6月にCore Ultraブランドの詳しい説明があって、Meteor Lakeのより詳細な情報が7月末に出る」というもの
今の所、発言の前半部分は合っていたことになる
Intelの社員はこの手の情報は絶対に話さないので、発言したのは多分MSIとかの中の人
なお、このスタッフも7月に製品の発表があるとは言ってない
仮にIntelの製品発表が9月でOEMの注文受付が10月とすると(年末商戦に向けたIntelの一般的なスケジュール)
遅くとも今月中にはOEMの手にMeteor Lakeがわたるだろう
で、これも決算で触れるだろうから……という話
> それにしては、>>155のような次の次の発表が先行してるし
エンジニア向けのリファレンスマニュアルの更新なので、通例として1~2年前に公開される類の情報
Linuxカーネルのパッチだともっと早くて、3年前にはLunar Lakeの情報が出ていた >>153
アーキテクチャの良さを語るにはIPCしか指標無くね? シネベンのシングルとマルチ、ワッパとかがあるやろ。
って、マウント取りたいんだろ Apple Mは高クロック苦手だな…
IPCだけがアーキテクチャの優劣つけることにしたろ! >>163
シングルコア性能はマルチスレッディングした方が有利
マルチコア性能はコア数の多い方が有利
ワッパはクロック低ければ低い方が有利
という当たり前すぎる結果しか起きないから語る価値無いんじゃね あと現実の需要に即してないってのもある
マルチスレッドやマルチコアを常にMAXで使うことなんて普通のPCユーザーは無い
ワッパも高いに越したことはないが
別に絶対性能を減らしてまでワッパを追求したいとまでは思ってない > 別に絶対性能を減らしてまでワッパを追求したいとまでは思ってない
ここはノート板ですよ
自作板なら同意するがラップトップならワッパ重視だわ 冷却さえ追いつけばワッパなんかより単純に性能高いほうがいいけど
バッテリー駆動させるだけがノートPCの使い道なわけでもあるまいに MacBookのMシリーズよりもiPhoneのAシリーズの方がワッパ良いからMacはゴミって話になってしまうな ノート=常に持ち運んでバッテリーで動かすもの
みたいな昭和みたいな考えの人おるよね >>170
んなわけないの根拠は?
Aチップ Pコア1つに対しEコア2つ
Mチップ Pコア2つに対しEコア1つ
ワッパではEコアの比率の高いiPhoneのチップの方が優秀なはず
ワッパ高くないデータが出てるとしたら
ディスプレイやらメモリやらデバイスの他のコンポーネントの電力を足してしまっていると思われる コア数間違ってるぞってのは置いといて
・性能が高く消費電力が大きい
・性能が低く消費電力が少ない
↑どっちもワットパフォーマンスは同じ >>173
IntelのEコアはPコアの4分の1くらいの電力でPコアの2分の1くらいの性能、というのが目安になってるわけだが
AppleのEコアとPコアがワッパ同じなら
単にAppleの設計がゴミなだけじゃんそれ 2倍の性能なら同じ作業が半分の時間で終わる
そっちの方がエコだろ? >>171
草
むしろ、昭和というか平成の方がバッテリーの性能が悪いから
コンセント差しっぱなしなんだが
馬鹿かな? >>171
草
むしろ、昭和というか平成の方がバッテリーの性能が悪いから
コンセント差しっぱなしなんだが
馬鹿かな? 大事なことだから2回言ってしまった
ワッパで勝てないから陰厨が発狂していて草
Armはおろかおなじx86-64のAMDにも負けてるからなあ 14世代って、13世代の何倍性能が上がるの?
CPUとGPUが? ・NVIDIAがグラフィックスカードのOEM各社へIntel Arc Battlemageの取り扱い中止を求める。
「Intel Arc Battlemageを製品化した企業へはNVIDIAのGPUを供給しない」と通達したことがリーク。
Arc Alchemistの製品化がASRockとGUNNIRだけで「Intelはリテールに関心が低い」と言われていたが、因果関係は逆だった可能性が出てきた。
昔Intelがほぼ同じことをやって独禁法でやられてるので、Xデイは何時かという感が。
・IntelがNUCの販売事業を終了、10年の歴史に幕。
Minisforumらへんが一定のプレゼンスを発揮するようになったので事業部門の本来の役目を終えたという判断。
全期間の合計で1,000万台のセールスを記録。
小さいだろうなとは思っていたが、驚くほどのスモールビジネスだった。
・IntelがAIアクセラレータ Gaudi2を中国国内へ訴求。
性能、市場への供給など総合的な観点からNVIDIAのGPUを置き換える唯一の選択肢であるとのこと。
NVIDIA H100は既に年内の供給分は枯渇してしまっているらしい。
2018-2020年にIntelのCPU供給不足でAMDの年商が拡大したのと同じ現象が今度はGaudi 2で見られるのだろうか。 NUC終了が痛すぎる…HPあたりのMeteorノートに期待するしかない
中華PCはロゴ表示が嫌なんじゃ 数年前より開発の進んでいたファウンダリ向けのプロセスであるIntel 16がついに正式にロールアウトした
・競合対して高い密度、省電力、低コストを実現
・競合よりもシンプルなBEOLの設計ルール
・PCIe5.0、LPDDR5X、ミリ波など最新のIP
・業界標準のEDAツール
おそらくこれがRAMP-Cプロジェクトの最初の成果となる
EDAを始めとするIDM1.0時代の弱点を克服し、競合のポートフィリオの穴を突いていることから
TSMCの28nm、16/12nmに対して高い競争力を備えているものと考えられる なんかMeteorLakeガッカリ性能みたいじゃん? >>178
AMDは単にプロセスルールで進んでるだけだろ
技術的に何もアドバンテージ無い 「画面とキーボードと演算装置を備えた携帯性の高いクラムシェル型コンピュータ」の概念自体は70年代から提唱されてて
そういうスタイルの元祖とされるグリコンも82年には生まれていたから、何をもってノートパソコンとするかの考え方にもよる
「ノートパソコン」というフレーズを世界で最初に使ったのはNECで、PC-9801Nを発売したのが1989年(平成元年)11月だから
たしかに厳密に言えば昭和にはまだ「市場のジャンルとして」ラップトップパソコンまでしかなくノートパソコンは存在していなかったとも言えるし ノートPCを最初に出したのはNECでなくて東芝だよ
ソースは何年か前のdynabookのカタログ 来年か再来年にdynabookを買うんだけど
CPUとGPUは14世代と15世代で、どれ位差が出るの?
あまり変わらないようなら、14世代を買おうと思うんだけど >>190
東芝、EPSON、NEC、数か月の差はあるが、どれも平成元年に「ノートパソコン」を発売してる 東芝もJ-3100のリリース時点ではラップトップを名乗ってたから
厳密に考えてどうかという話やろ ARLなどで採用される予定のLion Coveは全く新しいL0$を持つかもしれないとのこと。
Intelのプロセッサの場合、L/Sバッファを事実上のL0$として機能させて性能を稼いでいるというのはそれこそCore 2 Duoの頃まで遡れるほどの話で、シニカルに言えばDeeper/Widerのトレンドが続くことを再確認しだだけではある。
ただまあuarchの機能的分類としてはL0$はパイプラインの一部と見做されるものだから、ここに大きな手が入るとすれば実行ポートの追加なども同時に行われる可能性は極めて大きい。
cf.GLCのブロックダイアグラム
i0.wp.com/chipsandcheese.com/wp-content/uploads/2021/11/goldencove.drawio3.png ARL-Sに関するIntel社内の性能予測がリーク
www.igorslab.de/en/intels-internal-performance-projection-for-raptor-lake-s-refresh-and-arrow-lake-s/
何時の時点の資料であるのかというのが決定的に重要なのだが、詳細は不明。
RPL-S Refresh比でST Perf.は5~10%程度アップ。MT Perf.は最大で21%向上。
N3を使うとかの最新のルーマーと辻褄を合わせるなら、Lion CoveはRaptor Cove比でIPCが30~40%向上する一方、クロックが15~20%低下する (=4.8~5.1GHz) 計算になる。 昨日のL0$に関する噂の続きがあった。
L0$そのものは新しいものではなく旧来のL1$のリネームでに過ぎないらしい。
つまり従来の ~100KiBクラスのL1$ / MiBクラスのL2$ / MiBクラスのL3$スライス という階層構造に対して
~100KiBクラスのL1$ / 256~512KiBクラスのL1.5$ / MiBクラスのL2$ / MiBクラスのL3$スライス という再定義を行い
そして紛らわしい L1.5$ というネーミングを避けるためにL0,L1,L2,L3という風にリネームするという話みたいだ。
要するに、このネーミングスキームの変更で増強される箇所はパイプラインではなくメモリサブシステムだったと。
SkylakeからRaptor CoveまでのL2$の変遷を辿ると以下の具合。
uarch / 容量 / 前世代比
Skylake / 256KiB / -
Sunny Cove / 512KiB / 2x
Willow Cove / 1.25MiB / 2.5x
Golden Cove / 1.25MiB / 1x
Raptor Cove / 2.0MiB / 1.6x
SRAMのセルエリアは縮小していないにも関わらず、L2$の容量に限って言えば「2年で2倍」という古き良きムーアの法則が守られてしまっているのが面白い。
これに則ればLion Coveは4MiBのL2$を持つということになる。
流石にここまで容量が大きくなってくるとエネルギー効率やレイテンシの面から階層構造を再定義したくもなるということなのだろう。 我々の10nmは他社の7nmぅぅぅぅぅ!
と、言っておきながら他社のCPUより電力食いだからな
製造プロセスではTSMCに負け
CPUのアーキテクチャではAMDに負けてる
Meteor Lakeは順調!とゲルたそが言ってるが
これだって、1年遅れだからなぁ >>200
株価対策としか思えんのよな
10nmの改良でよく戦えるよな
とは思うけど RAMP-Cプログラムにボーイングとノースロップ・グラマンが参加。
Intelのプレスリリースによれば2社はIntel 18Aの顧客になるとのこと。
F-22のアビオニクス更新、F-35の大規模改修であるBlock4、今年5月に始まったF-22の後継となるNGADのコンペティション、などとの関連が予想される。
F-35の消費電力はエンジンの供給性能を大幅に超過しており、連続的な高温運転によって稼働時間が75%まで低下していることが、今年4月の公聴会で判明している。
メンテナンスや交換部品に要する追加コストは$38B (約5兆円) とも言われており、先端プロセスのウェハコストはそれほど重要視されないということだろうか。 TSMCがアリゾナに建設中のファブだが、労働力不足により操業が2025年まで遅延するとのこと。 >>197
4段階のキャッシュ作ろ!
↓
2段階目を1.5段階目って呼んだらわかりやすいやろ!
↓
やっぱりわかりにくいな、1段階目を0段階目と呼べばわかりやすいやろ!
インテルには文系しかおらんのか? 中の人が「内輪で通じればいい」レベルの適当すぎるネーミングをするのは業界あるある
あえて擁護するならL3$スライスはアーキテクチャ的に別のリージョンにあるので、最適化を意識するとここの名称を変更したくなかったのかも知れない >>154の続報
Lion Coveで姿を消すと噂のHTTだが、その代わりに"Rentable Units"が実装されるとのこと
関連する特許がないかな〜と探してみたら、それっぽいのがあった
"Rentable Units" = 非対称SMT
ジムケラーのRoyal Coreプロジェクト、4way SMTの噂とも整合している
www.freepatentsonline.com/y2019/0079806.html AlderLakeやRaptorLakeのEコアってHTじゃないよねー 要するにHTTは引退するのではなくHTT Ultra(笑)になって帰ってくるということのようだ。
154ではMT Perf.はmont系のコアで稼いでPコアはよりアグレッシブなパワーゲートを施す方向性、つまりハイブリッドアーキの利点を前面に出す、と予想していたので外れた形。
まあクライアントとサーバーで方向性が異なるだけという可能性は未だ捨てきれないのだが。
なおArrow Lakeでは2way SMTだがLion Cove+となるLunar LakeとDiamond Rapidsでは4wayになるかも知れない (Lion CoveがWillametteの再来であれば)。 Panther LakeのPコアに採用される予定だったCougar Coveだが、これもまたシミュレーションの結果が振るわなかったらしく23Q1にキャンセルされたとかいう噂が出てきている。
それに伴い25年の製品はARL Refreshになる可能性が高いようだ。
まあ、これはこれでタイルアーキなのでIntel 18Aへの移行やiGPUの高性能化が期待される。
AXGが混乱してるとか言われてるのがアレだが (とは言えRajaが飛ばされた時点で知ってた速報)。
それからロードマップから消えたと思われたNova Lakeの名前が復活した。
26Q? Panther Lake @Intel 16A (5nm++)?
27Q? Nova Lake @Intel 14A (3nm)?
28Q? Beast Lake @Intel 12A (3nm+)?
Golden Coveの2倍のIPCを目指したRoyal CoreプロジェクトはBeast Lakeで完遂されるとのこと。
Lion Cove、Cougar Cove、Nova Cove(?)、Beast Cove(?)の各世代で平均して20%のIPC向上が必要だが……さて。 >>208
仕事ができない無能が集まり始めると重箱の隅をつつくことにこだわりはじめる novaなんて自称リーカーが適当なこと言ってる!
鵜呑みにしているお前はバカ!
ってドヤってた子、赤っ恥やな
んで、パンサーを間に挟むのは確定なのか
panther coveってコアがあるからそれと勘違いしてるんじゃないかって話もあったが
製造プロセスを見る限りだとraptor lakeのように中継ぎで
デスクトップ用途っぽいかな
製造プロセスは順調といってるが
ごくごく少量でもロードマップ通りにリリースして
株主さまとアメリカ議会さまに順調を意識づけたいんだろうな ・IntelとEricssonの両社が次世代の5G向けカスタムASICの製造をIntel 18Aで行うことに合意したと発表。
Intel 18Aと明示されたのは>>203に続き3社目。
10nmでトラブってた頃のファウンダリビジネスは顧客の名前が出てこなかったので、まあ良い兆候だろう。
・AVX-512に続く、ISAの大拡張が明らかに。名称はAVX10。
まずはサーバー向けのGranite Rapidsで先行して実装される (AVX10.1)。
クライアント向けのAVX10.2がこれに続き、最も重要な拡張としてuarchは交雑するが、ISAはモノリシックに回帰するという点がある。
wccftech.com/intel-avx10-isa-to-feature-avx-512-instructions-with-support-on-both-p-cores-e-cores/ 12や13世代はEコアでAVX-512が使えないのがネックだったんだよな 2Q23決算
業績は軟調だが実のあるリストラが進行しており、1Q23より引き続き決算発表後に株価が上昇している。
・Intel 20Aが1H24に立ち上がり、Arrow LakeはIntel 20Aで製造される。
つい先日ARLが (1)歩留まりの問題で、 (2)Intel 20Aの採用を完全に諦める という噂 (>>159) が流れていたのだが、どちらも誤りだったらしい。
・ARLは2024年に投入される。現在A0シリコンがファブを走っている。
この時期にパワーオンが達成できてないようだと来年の年末商戦に間に合うか怪しいのではないか?と思ってしまうが。
Meteor Lakeでタイルアーキへ移行したことでバグの数が一桁減って、製品化に要する期間が1-2年短くなるという説明は過去にされている。
したがって、まず過去のトレンドからして、ARLのパワーオンは今四半期中に達成される可能性が高い。
そしてパワーオンから1年余も時間があれば十分に製品化が可能である……ということなのだろう (対照的にRaptor LakeやSapphire Rapidsはバグが一桁多いのでパワーオン達成から15ヶ月以上の時間を必要とした) 。 ・MTLは今四半期中にPRQ。
9-10月に製品発表、10-11月に消費者の手にPCが届く感じだろうか。要するにいつものパターン。
そして同時に>>143は完全なる誤報だったことが判明。
実はそのソースの人はEmerald RapidsもPRQだと言っていたのだが、ただ単にPRQという言葉を誤って使っていた模様。
・Intel 3が2H23に立ち上がり、1H24にSierra Forestが登場する。
・1H24にはGaudi 3も投入される。 MeteorLake載せた製品が本格的に出回るのってやっぱり来年かね
多分今年中に出る奴って13型とかのごく一部だけだろうし デスクトップのは今年だろうけど
ノートのは来年だな 日本語の記事でてた。
Intel、既存ソフトも再コンパイルで高速化できる「APX拡張」
pc.watch.impress.co.jp/docs/news/1519027.html
Intel、Pコア/Eコア両対応の新拡張命令セット「AVX10」
pc.watch.impress.co.jp/docs/news/1519091.html
APXは『命令長は増加するが、uopsキャッシュの利用効率も上がる』というトレードオフを制してIPCを稼ぐ方針が面白い。
これの採用される世代はSandy Bridge以来のuarchの大ジャンプがありそうで楽しみ。
汎用レジスタが増加するとなれば一層SMTで共有 (競合) するリソースがバカにならなくなってくる、、とりわけ4way SMTなんて話になれば尚更。
なのでやはり”Rentable Units"の正体は>>209の特許にある非対称SMTである可能性が高い。
ワークロードに応じて動的に論理プロセッサを増減させる技術なので、おそらくThread Directorの拡張も同時に行われるだろう。
なおLinusは「AVX10への移行はスムーズに進むけどAPXへの対応はソフトウェアスタックを整える必要があって時間がかかる」との見通しを述べている。 PS5新型「CFI-1300」は5nmチップ搭載、発熱低下のため液体金属を廃止か
https://gadget.phileweb.com/post-48224/ 発熱するからこそ液体金属使うんじゃないのかと思ったら
5nmにシュリンクされるから液体金属使う必要がある程発熱しなくなるって事ね ミスリードを誘う文章だよなあ
7nmから5nmになったら普通はトランジスタ密度が上がる
例えるならガソリンエンジン100個積んでた車が
200個積むようになったらもっと熱くになるに決まってる
ここまでして閲覧数稼ぎたいのか その例えなら多分トランジスタは100個のままだろ
そしてトランジスタ密度が上がってもトランジスタ1つあたりの消費電力が下がって発熱も下がる 自分の読解力が低いのに「ミスリードを誘う文章だよなあ」は無いと思うw
つーかミスリードは誘うものじゃないよ >>223
今年は搭載モデルはでないっしょ
今年の6月ごろに出荷開始って話があったが、それならわんちゃんあったけど
例年通りに10月に発表なら、ほとんどのメーカーは来年1月のCESで発表
販売は3月くらいから、って感じだろうな 230があほなのは作られるダイはPS5の強化版用ではなくPS5の単なる新型用なのであって
スペックを上げる必要がないのにエンジン100個が200個とかいってること PS5のAPUがZen2からZen3になるならガソリンエンジンが100個から200個になるってたとえはわかるが
アーキテクチャはそのままでシュリンクするんだから100個のままでしょ
その記事では消費電力と発熱にしか触れてないけど、熱密度のこと考えるとグリスで平気なん?って思っちゃうけど
液体金属のままの方が静音に寄与するんじゃないかって気がする
まー、製造原価を下げたいだろうし、液体金属漏れるって話題もあるし品質も上げたいんだろうな その前に、インテルは何やっているのよ
ライバルが、5nm出すのに、インテルは10nmのままって…
せめて、MeteorLakeは7nmに汁!!! >>237
自分でその記事貼っておいてPS5 Proなら、とか
>>238
なにいってんの?
10nmは他社の7nmに相当すると昔から言ってる
メテオはintel 4だろ
228と230は同一人物の自演なのか? >>234
9月発表なら10月には買え(注文でき)ます。即納モデルなら月末に届く。
来年になるようなのはIntelがCESで発表する場合の流れ。
というか既に2Q決算で「3QにPRQ」と言ってるのだから、現状はそれ以上でもそれ以下でもない。 >>239
歴史的に言えばIntelが22nm/14nmで圧倒的過ぎる時期に、TSMCが20nmとかSamsungが16nmとか詐称しだしたのが始まりだからなあ。
そこから日経新聞ですら「Intelはまだ10nmなのにTSMCは7nm」とか書いちゃう圧倒的なシュールレアリズムを前にして、Intelもついに妥協したという流れ。 >>234
げー、マジ?
インテルを信じて昨年末のRyzen5600G/5700G祭りを見送って今年こそはと思っていたけど
年末までに買えないならRyzen7000のどれかにしちゃいそう いつも大体そうだよね
インテルが年後半に発表→各メーカーは並行して年末までに搭載モデルの設計を済ませる→年明け~2月頃に発表→3~5月に発売って流れ
稀にデルのXPS13みたくインテルの発表と殆ど間を置かずに発売みたいな例もあるけど、これは設計段階でインテルと組んでたみたいな話だったし いや全然違うだろ。
hpのSpectre x360が好きだから主にこれに関連する記憶に頼るが、、
SkylakeもWhiskey LakeもTiger Lakeも3Q発表で年末商戦に間に合っている。
そう言えば2Q発表だがIce Lakeも。
間に合わなかったのは翌年のCES発表で最初から年末商戦のウィンドウを逃してるパターンしか記憶にない。
Alder Lake、Raptor Lake、Kaby Lakeがこのパターン。
他にも色々あると思うがSkylake以降はワケワカメだしIvyとか昔過ぎて忘れたから博覧強記ニキに任せるわ。 7nm (Intel 4)移行するのは来年になるの?
昔のロードマップでは2017年に7nmって書かれてたのに
どんだけ遅れんだよ まあしょうがないな
うまくいってるのはTSMCだけでサムスンでさえ微妙だから Surface Proとか毎年出てるものが今年は出ない可能性あるってこと? Intel Lunar Lake CPUs Feature 64 Xe “Battlemage” EUs For iGPU, 8 Xe-Cores & Next-Gen VPU
wccftech.com/intel-lunar-lake-cpu-feature-64-xe-battlemage-eu-igpu-8-xe-cores-next-gen-vpu/
Lunar LakeのグラフィックスタイルはBattlemageだとかいう噂。
コンピュートタイルが4+4と控えめな一方でiGPUとVPUが大幅に強化された、従来とは毛色の異なる製品になりそう。
開発期間の長さも考えるとやっぱりLakefield的なポジションの製品なのかなと (LNLはARLより早くテープアウトしている) 。 AMDの2Q決算が報告された。この話題そのものはスレ違いなので詳細な言及を避ける。
が、IntelのDCAI部門が相対評価で健闘していたことがわかり「Sapphire Rapids売れてるじゃん」となってるのが面白い。
世界経済と市場のことは読めないな〜という小ネタ。 ネットの一部界隈で盛り上がっていた韓国発の常温超電導の論文。
ローレンス・バークレーの研究チームがこれを支持する結果を出したことで一層盛り上がっている (シミュレーションであって複製に成功はしていない) 。
常温超電導が実現した場合の社会へのインパクトは、あまりにも大きくなりそうで全く想像できない。
だがまあ半導体に限ればムーアの法則はこれまでの予測よりずっと長く延命されるだろう。 まあ上手くいっても20年30年先の話だろう
例えばグラフェンが発見された(複製可能になった)のは2004年
グラフェンを使えば500GHzや1000GHzのCPUだって作れるというMITの論文が出てきたのが2009年
グラフェン発見(複製)した人がノーベル賞受賞したのが2010年
2013年のMITの実験でグラフェンを使ったトランジスタが427GHzで動作したというのもあったが
2023年現在、IntelやTSMCの具体的なロードマップにグラフェンに移行するなんてものは出てこない
1nmより先、グラフェンを含む2D的な特性のある材料に移行する可能性があるみたいなことはTSMCの資料にチラッと出てたレベル 専門外だけど、それが論文見た印象だと半導体プロセスとの相性がカーボンよりずっと良さそうなんだよな
再現性があった場合はグラフェンやCNTの研究で飯を食ってた人は先が厳しいんじゃないだろうかって思ってた >>241
> 9月発表なら10月には買え(注文でき)ます。即納モデルなら月末に届く。
と、おもうじゃん?
でも、一番遅いVAIOですら12インチ、13インチにRaptor Lakeを載せてきた
主要メーカーのモデルでMeteorが載るのは来年の3月から6月くらいだろ
中国の一部のメーカーとかは今年に載せてくるかもしれないけどな >>249
Surface Pro 11 inchとかSurface Go 4 Armでググるとでてくるけど
新製品の発表そのものはあるかもしれないが、リリースはもしかしたら来年にずれ込むかもしれない
って、憶測なのかリークなのかわからん話も出てきているね
来年にWin12がでてきてAI対応を大々的に謳うだろうし
そうなったら、Meteorに積まれるNPUが必要になるし
まあ、Surface Pro7から8も2年かかったし
毎年、新製品が出るってわけでもないのよな >>245
それ、チクタクがうまくいってる時の話じゃないのか
Ice Lakeですらグダグダで、アイスを載せるかコメットを載せるかで各社判断が分かれてる
メーカーの特定のモデルは発表した年に搭載品をリリースしてるが、ほとんどのメーカーはCESで発表してるだろう
自作erでcpu単体なら発表された年に販売されてるけどな > Ice Lakeですらグダグダで、アイスを載せるかコメットを載せるかで各社判断が分かれてる
Ice LakeとComet Lakeは電力レンジが違ったからモデルによって採用が別れたのは当然。
何にせよ10世代ってくくりで見れば製品発表と同じ年に入手可能だった。
ちなみにTiger Lakeも本来は同じ年に45W以上のSKUに6コアのRocket Lakeが計画されていたがキャンセルされている。
> メーカーの特定のモデルは発表した年に搭載品をリリースしてるが
要するにMeteor Lakeも今年中に入手可能ってこと。
今年の9月までにはIntelはMeteor LakeをOEMへ出荷し、売り上げに反映される (=PRQ)。
Surfaceが出るかとかVAIOが出るかとかはIntelにもわからないし、どうにもできない。 4Q23に投入が計画されていたEmerald Rapidsが3Q23に前倒し
uk.finance.yahoo.com/video/intel-ceo-says-worst-behind-205223584.html
Intelは2Q決算で「全ての製品計画がスケジュール通りか、前倒しを実現できた」と述べていた。
前倒しされた製品計画の一つはEmerald Rapidsであることが明らかになった。
他にもIntelはGaudi2から性能を倍増させたGaudi3のA0シリコンをすでに手にしており、来年投入できること。
加えて2025年の投入を目指したGaudi4の計画も進行中であることを明らかにした。
Rialto Bridgeがキャンセルされ、Falcon Shoresが後退し、Battlemageも来年へ遅延している。
Rajaが会社を去った時点でなんとなくわかっていたが、現在のIntelはdGPUへの積極性を失い、AIプロセッサにリソースを傾けているようだ。
個人的にはBattlemageはiGPUだけになってもおかしくないな〜と思っている。
TSMCからGPUの製造を奪えるだけでもIntelとしては十分大きな勝利であり、またNVIDIAがRAMP-C計画に参加していることから一定の実現可能性を見て取れるからだ。
逆にMPUやAIプロセッサの開発をしつつ、競争が激しいdGPU市場でNVIDIAを正面から敵に回してIFSの潜在的な顧客まで失うというのは余りスマートな戦略に見えない。 Sierra Forestは既に性能とエネルギー効率のゴールを達成できている。
diit.cz/clanek/pat-gelsinger-xeony-emerald-rapids-jsou-v-mirnem-predstihu
要するに顧客にはほぼ製品版と同じクロックのサンプルが出荷できているという話。
SRFに関しては相当に順調な開発状況だと言える。
3QにIntel 3がramp upするなら来年の1月、年末なら3-4月頃に投入されそうな雰囲気。 Meteor LakeはUltra 7が最大5GHz、Ultra 9では5GHzを超えるターボクロックを実現する。
wccftech.com/intel-meteor-lake-core-ultra-9-cpus-over-5-ghz-clocks-core-ultra-7-around-5-ghz/
初期からIntel 7とIntel 7 Ultraの中間くらいのクロックを達成できる模様。
前々からわかっていたがIntel 4は強い。 信頼度微妙な中華リークだが。
Redwood Coveは10%ほどIPCが向上するとのこと。 Intelが今年7月、オレゴンのD1Xの拡張計画を規制当局へ提出していたらしい。
www.anandtech.com/show/19992/intel-plans-massive-expansion-in-oregon-d1x-and-d1a-to-be-upgraded
用地の問題でMod 3にて拡張の限界に達したと言われていたD1Xだが、何らかの政治的な進展が見られたのか第4の計画が進行を始めたようだ。
このMod 4に当たる計画には新たなるFab (D1E?)の建設と、プロセス開発の拠点であるD1Aのアップグレードが含まれているとのこと。
Intelは25年の早期にツールのインストールを開始し、28年に全工程を終える見通しを立てている。
背景には24年にHigh-NA EUVのプロトタイプが完成し、25年に世界初の商用モデルをIntelが受け取るという流れがある。
したがってD1X Mod 4は、27年内の製品投入が期待されるIntel 14Aを素早く開発し確実に量産へつなげるための計画だと考えられる。
Mod 3で実装されたD1DはIntel 4 (7nm+)の生産拠点となり、様々な障壁を突破して今年のMeteor Lake製品化につながった。 > 現在のIntelはdGPUへの積極性を失い、AIプロセッサにリソースを傾けているようだ
Meteor LakeのNPUの性能をたからかに喧伝してたから、そうなんだろうなあ
ララビー以来の悲願なんだからGPUはGPUで頑張って欲しいけど Intelという企業を創業以来の歴史的な視点で見ると、本質はイノベーションの企業であって成熟市場にあとから参入して泥沼の戦いをやる企業じゃないんだよな。
あくまでもLarrabeeでやる気になってたのはレイトレに破壊的なイノベーションの可能性を見出したからで、トラディショナルなdGPUを作ってNVIDIAと戦うのはちょっとイメージと違う。
そもそも純粋に戦略だけを考えればdGPUでNVIDIAを倒すよりも、ゲーム機向けのSoCでAMDを倒すほうが楽。
AMDはIntelのPC・サーバーを攻撃するが、IntelはAMDのコアビジネスであるゲーム機を攻撃しない (*)。
社風が違うから……。
ゲルシンガーが有能なCEOならばIFSの扉をAMDにも開いて自社の製品開発グループと競わせると思われる。まあ、高い確率でそうなるだろう。
* ラフに言えば、PCで10%、DCで20%程度のシェアしかないにも関わらず、AMDはx86全体で30%のシェアを持っている。
AMDにとってゲーム機は生命線であり、同市場でIntelが本格的に競合した場合、AMDの経営はかなり深刻なリスクに晒されることがわかる。 他にもSSDの草分け期にはIntelがトップランナーだったけど成熟市場になったら消えた。
HBMやHMCの規格が議論されてた時期にもDRAMの市場へ戻ってくるという選択肢もあったわけだが、Micronとのパートナーシップを選んだ。
OptaneにしてもMicronとの協業で始まってるし、本当は市場が大きくなった時点で地位を譲る流れだったと思われる。
クルザニッチが消えて「そもそもIntelってエンドユーザー向けの最終製品を作る企業じゃないし (=モデムとCPUを両方作っていてもシナジーしない **) 」と正気を取り戻した時点で、モデム事業もAppleへ売り飛ばしたしな。
ItaniumなんかもHPのエンプラ事業と絡んでたせいでダラダラ出血したけど、Intel単独なら2005年頃にはEPICは終わってたんじゃないかね。
要するにAIプロセッサにやる気なのは現時点ではCUDAが主流とは言え、まだまだ成長の余地がある市場でIntelがリーダーシップをとれる可能性があるってのと、PCやサーバーとシナジーする (=OEMの満足度が上がる) から。
** 消費者がモデム搭載のノートPCを当たり前に求める世界だったら事業売却はなかったものと思われる。
しかしリアルワールドでは基本どこでもwifiに接続できるので……。 知識があるのは分かったから文章を端的に纏められる能力を獲得して欲しいわね というか、モノが一つも出ていないのにインテルすごいと言われても
ここ最近のインテルはそんなんばっかだけどな Intelすごいじゃなくて
成熟市場で勝てない企業ってディスってるだろ 次世代Core Ultraや製品ロードマップなど、Intel Innovation 2023のセッション一覧が公開
https://pc.watch.impress.co.jp/docs/news/1522549.html TSMCはアリゾナの工場を維持できるのか? 一部の住民が疑義を呈する理由
www.azcentral.com/story/opinion/op-ed/joannaallhands/2023/08/08/tsmc-survive-arizona-some-residents-skeptical/70547129007/
>>205の続報。アリゾナの現地メディアによる批判的な記事。
建設現場が危険だとか給料が低いとか、規制当局とズブズブで環境負荷への説明責任を果たしてないとか、色々と批判的な内容。
TSMCのブラック労働と外国での工場建設におけるリスクを懸念する報道はかねてからされていたのだが……的中してしまうとそれはそれで。 『熟練労働者』を台湾から連れてくるというTSMCの決定に対し、アリゾナ州の労働者組合などが反対
www.tomshardware.com/news/tsmc-faces-opposition-to-500-taiwanese-worker-visas-for-arizona-fab
労働者組合はTSMCの決定はCHIPS Act.の精神に反するものと主張。
労働ビザを発給しないように州議会へ働きかけているとのこと。
建設の現場でリスクに晒された労働者から複数の通報があったのだが、行政はTSMCに対して擁護的な姿勢を崩さなかった。
そういったこれまでの経緯から州知事をはじめとする行政サイドはTSMCとズブズブに見える。
もしも州議会も駄目だった場合は上院に問題が持ち込まれる可能性がある。
その未来では補助金など取り消しから工場建設撤回まで想定される。
ただしこのシナリオは「行政との癒着がなければ経営が成り立たない」というTSMCの腐敗体質をほとんど公のものとしてしまい、ドイツや日本への進出も連鎖的に消えかねない。
したがってどこかしらでTSMCが妥協するのではないだろうか。 アリゾナの事件を追ってて知ったんだけど(恥ずかしながら今更)
熊本県がTSMCの工場の環境アセスメントしないとかネットで騒いでる人いるんだな。
環境影響評価手続の状況
www.pref.kumamoto.jp/soshiki/51/164029.html
環境影響評価評価書終了等事業
www.pref.kumamoto.jp/soshiki/51/147692.html
確かに、どちらにも該当しそうな事業の記載が無い。
……うーむ。 >>270
本当それ
買い時になるまでの時間も含めるともう待ちきれないからRyzen7000に行っちゃいそう ノート向けは14世代次第だなぁ
13世代はRyzenに完敗だし… モバイルのZen4ってNPU(Ryzen AI)が載ってるのは7040だけじゃないのか
Inteは我が社のCPUはすべてのSKUでVPU(NPU)を載せるううううう!って強調していたと思うが
P + E + LP Eの構成になって、PとEへの電力供給を遮断できるようだし
ラップトップでは、まだまだインテルの存在感は大きいと思うけど
デスクトップはAMDで組むけど、モバイルはまだかろうじてかインテルかなー、って感じ
まあ、Meteor Lakeの出来次第では、モバイルもZenでいいってなるけど
とはいえ、Zenは供給も限られてるし、とうさいもでるもかぎられてるし
決め手に欠けるのよな Intelの場合AI用エンジンは11世代から載ってるよな
方向性がガリガリ計算させるような用途ではないが "Rentable Units"らしき特許が見つかったというニュース
www.hardwaretimes.com/intel-15th-gen-cpus-to-get-rentable-units-why-hyper-threading-is-going-away/
この特許が正しいとすると、20年来の衝撃的な事実だが、ついにIntelは動的なスレッド生成に乗り出すらしい (非対称SMTなんておとなしいものではなかった) 。
特許の説明では2つのスレッドを自動的に分割し、インバランスな負荷を解決するということになっているが……。
↓の画像のようにもともと並列性の高い2つのスレッドを細分化して、独立した2つのプロセサコアに割り当てるとデータの移動でエネルギー効率が低下してしまう。
www.hardwaretimes.com/wp-content/uploads/2023/08/Renting-Unit-Patent.jpg
www.hardwaretimes.com/wp-content/uploads/2023/08/Renting-Unit.jpg
スループットの向上とエネルギー効率低下のトレードオフがpayするかは疑わしい。 自動スレッド生成にPコア+Eコアのクラスター化という前提を置くと、どちらかと言えば考えられるのは、更なるIPCの向上を狙ったuarchの拡張がある。
かつてSpMTなどと呼ばれたそれはすっかりトレンドから消えた技術だと思われていたのだが、実はIntelは数年前にも新しく論文を出している。
ericrotenberg.wordpress.ncsu.edu/files/2022/08/conference_ISCA-47-2.pdf
ここではパフォーマンスボトルネックとなっている分岐とロードにターゲットを絞った効率的なプリコンピューテーションの可能性を判じている。
outcomeはSkylakeライクなuarchに leader-follower 型の拡張(*) を施す ことでIPCを平均67%向上。
Golden CoveのIPCをトラディショナルな手法で67%向上させようとすると、原則的には消費電力は2.8倍になってしまう。
Eコアを合体させ、IR-detectorなどをはじめとする制御リソースを追加するだけで、これほどのIPC向上を実現できるとすれば、高い合理性がある。
* IR-predictorによって予測困難な分岐を特定し、leader (Advanced-stream、おそらくEコア) がこれを投機実行してしまう。
follower (Redundant-Stream、Pコア) は結果として正しかった分岐とロード命令の実行結果の恩恵に浴し、パイプラインバブルを最小化して演算を継続できる (=IPC向上) 。 4コア4スレッドはあるみたいだが
4コア2スレッドあるいは1スレッドはないんか 14世代のMeteor Lakeはスルー確定だな
15世代で14世代より性能40%アップて… そんなに分岐予測がスマートで優秀になったのなら
わざわざ別コアにオフロードせず
コア内のパイプラインを増やしてオフロードすればいいのでは >>284
で、15世代が出たら16世代と言うんだろう
んなこと言っていたら、いつまで経っても買えないわ 15世代ってなんのことだ?Lunar Lakeか?
まあ、待てるなら待てば、それだけ性能↑するしな 15世代は、Arrow Lakeだろ
コア数40まで増えるし、14世代はスルーて奴多いぜ アーキテクチャー面ではP-CoreがLion Coveに、E-Core側もSkymontという新アーキテクチャーに刷新が行われる予定となっています。このアーキテクチャー刷新によりシングルコア時はRaptor Lakeに対して30~40%向上し、6P+8EのMeteor Lakeに対して、Arrow Lakeは同じ消費電力であればマルチコア時の性能は最大40%向上すると見られています。(8P+32EのArrow LakeはRaptor Lakeに対して55~75%向上する見通し) コア数でマルチ稼いでいるけどモバイルでは何コアなのよ? 俺は14世代メテオレイクthinkpad p1待ち >>288
コア40ってデスクトップじゃん
自作板行こうか >>290
アローはどっちかってゆーと、デスクトップがメインだからなあ
モバイルのEコアは16コアくらいが妥当じゃね コンセプトが初期から変わってなければ、Arrow Lake-Pは2023年投入でM3を完全撃破するための製品だった。
コンピュートタイルが6P+8EでグラフィックスがBattlemageの320EU、ADM$は512MBという化け物スペック。
特にMxは固定メモリが不評なので大容量ADM$のインパクトは大きかったものと考えられる。
当然のことながらARL-PのプライオリティはARL-Sより高く、リリースもそれより早い時期が予定されていた。
PC業界全体がAppleという、OSから本体まで自社で賄う独占的企業の打倒に燃えていたことが伺える。
ただ計画から1年後くらいにTSMCのN3が腐ったおかげでIntel 4のMeteo Lakerでも何とかなる感じになった。
それで上記のプランは破棄された。今はどうなっていることやら。 アロー、ルナー、いっぱい喋れるNOVAと、メテオ以降はぐだぐだ感が半端ない
昔はアーキ変更と製造プロセスの変更がチクタクしていたけど
デスクトップ向け、モバイル向けとチクタクし始めている感がある >“Strix Point”のHWiNFOとCPU-ZのSSが明らかに
インゴミ立場が無いw www.nature.com/articles/d41586-023-02585-7
>>252の常温超電導だがドイツのラボでLK-99の純結晶が生成されて否定された模様。
韓国の研究者グループは混入した不純物である硫化銅が原因で抵抗が急激に低下する現象を超電導と勘違いしたのではないか、とのこと。 Sierra Forestのスペックがリーク。
A0ステッピングで96コアと144コアのサンプルが確認される。L3$の容量はそれぞれ72MB, 108MB
過去にリークした資料に基づくとA0ステッピングなので、サンプルのコンピュートタイルの数は1
更に製品版においてはBirch Stream-SPではコンピュートタイルが2、BHS-APでは3になるとされていた。
したがってSRF-SPは192-288コア、SRF-APは288-432コアという構成になるものと考えられる。
Emerald RapidsのL3$が320MBであることや、Granite Rapidsが最大で132コアと予測されていることを鑑みると尖った製品になりそうだ。 >8月23〜28日のGemascomでAMDから新製品の発表がある模様
インゴミ息してないw >>300
RaptorLakeと比較して電力効率は1.5倍、GPU性能は2倍とIntel自身は言ってる >>297
じゃあ硫化銅を組み合わせて半導体の配線作ればいいってことじゃん >>301
GPU性能は2倍はいいね
レスありがとう なんか10万以下でノートを探すと
AMDはRyzen5 7530U・RDNAではなくVega・Barceloとかが多い
型遅れをリネームして発売してるというか
まあ安いから仕方ないのはわかるがw
Intelにすっかなぁ… GPUはIris XeからIris Xe2(名称変わったような気がするが)になる
Tiger Lake, Alder Lake, Raptor LakeはいずれもIris Xe
Meteor LakeでようやくiGPUのアーキテクチャが刷新される 今年中に7nm(またの名をIntel 4)は出るんだよね?
Surfaceのイベントがもうすぐあるらしいけど
ラインナップ全部10nmから7nmに更新されるの期待して良さそう? Surface Proの11inchがでるってリークがあったが
あわせて新型は発表されるが発売は来年にずれ込むかもしれん。ともあった
発売が来年にずれ込むならMeteor Lakeが載る可能性は高いが11月発売ならRaptor Lakeだろな
来年はWindows 12がリリースされるかも?ってリークもでてきているし
無理して今年のモデルを買う必要はないんじゃない >>309
きみはこのスレはあわないから
自作版の現行CPUスレに行ってください
もうこないでね Samsung Receives Huge Order of HBM3 Memory To Power AMD MI300X GPUs
wccftech.com/samsung-receives-huge-order-of-hbm3-memory-to-power-amd-mi300x-gpus/
SK hynix Confirms Development of World’s Fastest HBM3e DRAM, Sampling To NVIDIA & Partners
wccftech.com/sk-hynix-confirms-development-worlds-fastest-hbm3e-dram-sampling-to-nvidia/
とても単純に考えるとGaudi3はMicronのHBM3 Gen2 (↓) 使うのかな?という感じ。
wccftech.com/micron-hbm3-gen2-36-gb-hbmnext-64-gb-gddr7-24-gb-dies-32-gbps-32-gb-ddr5-dram/ そう言えば来週のHOTCHIPSだけど、IntelからはSierra ForestとLunar Lake (?) の情報が公開される。楽しみ。 AMDが次世代のdGPUであるRDNA4にてハイエンド製品になるはずだったNavi 4Xをキャンセルしたという噂
wccftech.com/amd-rdna-4-navi-4x-lineup-rumored-to-not-include-any-high-end-gpus/
これだけだとふーん、という感じだが。
IntelもBattlemageでハイエンド製品になるはずだったMCM版を昨年の何れかのタイミングでキャンセルしていることを併せて考えると、
どうもTSMCはN3だけではなく次世代のwafer-to-waferのパッケージング技術 (SoIC-X?) の開発にも失敗し、遅延を発生させていたように思われる。 Intelの枠圧迫作戦で確実にTSMCダメージ喰らってるな MediaTekがIntel 18Aの顧客になるかも知れない、とのこと。
IntelとMediaTekの協業は昨年発表されていたがその内実は今日まで見えていなかった。
報道によればIntel 16はMediaTekのために開発されたプロセスであり、TVやWifi向けの製品を量産が計画されている。
そして協業の中にはIntel 18Aの採用も含まれていたようだ。
MediaTekの評価ではIntel 18Aは実際にTSMCのN1.8に相当するパフォーマンスを発揮しているという。 www.hardwaretimes.com/intel-14th-gen-meteor-lake-4nm-node-allegedly-on-par-with-tsmcs-3nm-process/
何気にIC KnowledgeがIntel 4の評価を上方修正しているんだな (独自にMTLのサンプルを入手して電子顕微鏡で解析したものと考えられる)。
1年前の評価だと密度でややTSMC N3Eに劣るという評価だった(↓)のだが。
semiwiki.com/wp-content/uploads/2022/06/Figure-4-768x432.jpg.webp まあ、Intel 4が上方修正されたと言うよりもTSMCのN3 (N3E) が下方修正された、と言うのがより正確そうではある。
前人未到のEUV多重露光にFinFlexのような技巧を凝らした設計ルール……それで2022年にHVMとかいう今にして思えば滅茶苦茶な計画だった。
TSMCの3nm世代は見事にIntel 10nmと同じ轍を踏んだ感がある。
技術的にはIntelには20Aで追い抜かれるのがほぼ確定で、もしかしたらPPAでIntel 3に負ける可能性も十分にある。
もしもSamsungの3GAPが順当に立ち上がればTSMCのランクは最下位へ落ちる (ただちに業績に影響は無い)。 またIntelの1強状態に戻っていきそうだな
Appleザマア >>322
クルザニッチやスワンは無能だったって言ってるも同然だな ボブ・スワンは無能ってほどではないかな。
Habana Gaudiの買収にP+Eのハイブリッド化とか、Fab D1X Mod3だったりRAMP-Cの前身であるRAMP受注とか、今プラスになってる仕事をかなりやってる。
ただクルザニッチ時代のCFO (女房役) で、本人含めて誰もが嫌がったCEOを無理矢理に引き受けさせられた人なので。
性格も謙虚で控えめであると有名な人物だったし、Intelの再建者としては悲しいほどにバイタリティが不足していたのだろう。 >>322
ascii.jp/elem/000/004/152/4152300/2/
この記事、wcfftechで引用されてたから知ったんだけど。
同じイベントに参加してるにも関わらず大原の手にかかるとIntel 4が不調という話になるんだから凄いな。
Apple以外の顧客が全員N3Eへ逃げたという報道の意味を理解せず、>>39みたいな赤っ恥な記事を書いていただけある。
異常を感じてアナリストのレポートの一つくらい目を通す気にならないのだろうか?
> D1でどれだけの量のIntel 4が量産できているのかがわからないが、そもそもD1はすべてプロセス開発用の工場で、これを使って無理やり量産している格好だし
IntelのファブD1は複数回の拡張を経て量産ファブとしても機能するようになっている。大昔に。
少なくとも2001年の時点で既に量産機能を備えていて、当時180nmのプロセスを量産していた。
20年以上前に周知の事実となっていたポイントくらいプロのライターとして押さえておいて欲しいよな。
現在ではD1は5つのシェルから構成されていて、その内D1DがIntel 4の量産を担当していることがわかっている。
単純計算で各シェルのウェハは月産8,000枚 (実際は量産担当のシェルと開発担当のシェルで非対称だろうからもっと多いはず) 。
加えてプロセスの難易度からして歩留まりがEUV SADPなTSMC N3の70%を下回ってるとは到底考え難い。
ここで仮に同一の70%としてもMeteor Lakeの出荷量は月400万ユニットということになる。
そもそも「Intel 4のキャパシティは顧客の需要を満たす」と同イベントでVPが言ってるんだから、それを疑うなら相応のエビデンスを示せと。 >>321
アップルがざまぁってよりAMDがまたついて行けない状態になるのは困るなでも
14nmのインテル様が我が世の春を謳歌してた頃と、今の必死に頑張るインテル君状態じゃ
チップの進化度合いが全然違うし、やっぱり競争は進化に欠かせないものなのよね AppleはM3っての出すんでしょ?Intel信者涙目… 設計思想が根底から違うAppleと比べてるバカなんなの DARPA HIVE Programに基づくペタスケールのグラフ解析のためのIntelのアクセラレータ
www.servethehome.com/intel-shows-8-core-528-thread-processor-with-silicon-photonics/
データの再利用性がほとんどなく、コードの過半数がメモリオペレーションという
極めてメモリインテンシブなワークロードに対応するため、Intelが試作したアクセラレータ。
このようなワークロードにおいてはキャッシュメモリを始めとする実装のトラディショナルなプロセッサでは非常に効率が悪い。
したがってアクセラレータにより1,000倍の電力効率の改善を目指すとしている。
8コアで528スレッド、コヒーレンスキャッシュを廃しスクラッチパッドメモリを搭載 (8クラスタ、64コア = 16P+48E と書くほうが正確そうに見える)。
かつてのCELL B.E.とNiagaraの間の子のようなuarch。
特筆するべきポイントはシリコンフォトニクスによってオンダイファブリックをSocket-to-Socket乃至はRack-to-Rackのインターコネクトへシームレスに拡張していること。
32GB/s * 32port = 1TB/s のI/O帯域を44Wで実現。異なるソケットのスクラッチパッドメモリへ114nsでアクセスできるという。
シミュレーションレベルでだが、Intelは1024ソケット (8192コア) まで100%に近いスケーラビリティを確認したとのこと。
NICやスイッチを介さない接続を可能とすることで電力効率とスケーラビリティを実現している。
Intelは光スイッチの試作をしたことはあったがアクセラレータへの統合は今回が初めて。
DARPA HIVE Program
www.darpa.mil/program/hierarchical-identify-verify-exploit
graphchallenge.mit.edu/darpa-hive 拙者としたことがついつい早口にwwwwコポォ
友人からも気を付けるように注意されたばかりたというのにwwwwフォヌカポウwww Intel 4で大逆転してアポー信者涙目展開が見たい ワッパでArmには勝てないから諦めろ
メテオではp + e + lpって構成になるからアイドル時の消費電力が下がるのかもしらんが 【SPEED DEMON】Intelが「8コア528スレッド」のプロセッサを設計していることを明らかに [752644586]
https://greta.5ch.net/test/read.cgi/poverty/1693488145/ Lunar LakeのベンチがSisoftに登録される
ranker.sisoftware.co.uk/show_system.php?q=cea598ac9ca597a39bbddae7d5eccab885b593fac7f7d1b984b492ead7e7c1a4c1fcccea99a49c
来年の今頃、Arrow Lakeより早くLNLが出てきそうな気配がする。 ラプタンリフレッシュは14世代、メテオも14世代?
なんか紛らわしいな
>>338
噂がほんとならルナーはsurfacegoとか低電力モデル向けなんでしょ
そっち向けに先行してだして、モバイルはメテオリフレッシュでお茶を濁すとかありそう
アローはラプタンリフレッシュのかわりむけでモバイルに供給するかよーわからんし
来年は噂のオライオンがでてくるみたいだし
ルナーで迎え撃つ計画なんじゃね ゲルシンガーは31日、投資家への説明で3Qの業績は事前に予想された範囲の半ばを上回っていることを明らかにした。
つまり3Qの売り上げは$13.4Bを超え、前四半期比で3ポイント以上、粗利率が改善する見込みだという。
製造プロセスに関してはIntel 4は非常に急速に立ち上がっており、Intel 3も間もなく量産を開始できると明かした。
Granite Rapids / Sierra Forestともにバリデーションがほぼ完了しており、間もなくPRQになると見込まれるウェハがファブを流れ始めるとのこと。
Intel 20Aに関しても歩留まりの向上は予定よりよく、2024年のArrow Lakeの生産量は事前の計画より増加する、したがって2Q決算の前に流れた噂は完全に間違いであるとコメント。
ゲルシンガーはRibbonFETとPowerViaの新技術の双方が良くマネジメントされていると考えており、
特にPowerViaは競合他社を周回遅れにする技術だとした。
PowerViaは既成のプロセスへ組み合わせるだけで微細化と同様の効果が得られるイノベーションであり、IFSの顧客もこの効果を非常に高く評価しているとのこと。
更にIntel 18Aに大口の顧客から注文があり、事前支払いを受けたとコメント。
これを承けてアリゾナのFab 52, 62の建設は計画を前倒しして行われることになるとした。
他の企業 (TSMC) にはアリゾナでそのようなこと (建設を加速) は不可能だが、Intelはアリゾナと良好な関係にあるので可能だ、という痛烈なコメントも飛び出した。
アリゾナの建設加速は財政的には顧客の事前支払いに基づくが、ニードとしてはClearwater Forestをはじめ2025年に18Aを使用する社内製品だけではなく、カスタマーの設計も近いステージにあるためだという。 デュフwwww
拙者(>>340)としたことがまた早口にwwwwコポォwwwww >>346
www.intc.com/news-events/ir-calendar/detail/20230831-deutsche-bank-2023-technology-conference TSMCのアリゾナファブ建設遅延の問題をガーディアンが取材していた。
www.theguardian.com/business/2023/aug/28/phoenix-microchip-plant-biden-union-tsmc
長い記事で既出の情報がかなり多いのだが全文を眺めた感想だと
「TSMCはアリゾナのファブ建設コストを10倍も低く見積もっている (だからプロジェクトは失敗する) 」というアナリストの指摘が正しかったのかな、と。
どうも1ドルでも安く済ませるためにTSMCは必死で、そのためなら何でもやるというマインドセットに陥っており
「ポータブルトイレの数が不足しており、清掃や紙の補充が適切にされておらず不衛生であったために病人が出た」
なんて状態を許容していたら、そりゃ摩擦が起きるのは当然という感じがする。
この記事では500人のままになってるけど、半月くらい前にはTSMCが「台湾から2000人の労働者を連れてきたい」と言い出している。
CHIPS Act.の補助金や税制優遇だけでは割に合わないということだろうか。
他の企業 (Intel, Samsung, Micron, TI, etc...) は特に問題が報告されていないのだが。
バイデンはトランプとは対照的にハイテク産業のウケが良く、それで選挙に勝ったことを思えば……うむ。
再来年が大統領選であること考えると、ここから起こる政治的なムーヴメントは中々に興味深いものがある。 拙者としたことがついつい早口にwwwwコポォ
友人からも気を付けるように注意されたばかりたというのにwwwwフォヌカポウwww >>336
同一プロセスで同じ性能をターゲットにしてる場合ならワッパは大差ないよ
根源的にARMが省電力なのではなく
実装として低性能で省電力寄りの設計をしているだけ むしろRISCはデコード幅を増やしまくれるという
ワッパよりも絶対性能を上げやすい特徴があったりする もしかして
NEONやSVE2を搭載したARMがRISCだと思ってる? デコーダーの実装に要するトランジスタ数は二次関数的に増えていくから、ダイエリアと消費電力の双方で頭打ちになる。
L1$からのフェッチ帯域もコストが高く、ここで無茶をすると、やはり消費電力がバカにならない。
M1で華々しく登場したはずのアップルシリコンがM2でしょんぼりになったのも、この部分のトレードオフによるところが大きい。
本気で実装すればRISC (RISC-V) が最速という考えには同意するけど、あまり意味のある性能差にはならないと思う。
>>351
Lunar Lakeは昔のAtomと違って、ハイスピードロジックに傾倒したIntelの社内プロセスを用いないから、この点でARMとの比較はとても興味深いね。
逆にMediaTekはIntel 18Aでスマホ向けのSoCを本当にやれるのかは気になるところ。
もしも>>348の事前支払いをした企業がMediaTekだとすると、Intelのプロセス開発はゲルシンガーの登場以前と以後で全く性格を変えたことになる。 >>354
デコーダーやスケジューラーの速度は上げづらいからこそ
1命令をリッチにした方がいい
RISC-Vはツギハギがない分有利ではあるが
1命令の内容はチープだから
いずれは行き詰まる MeteorでMacBookオワコンになって
ローンでMacBook買ってる貧乏人どもが涙目になる >>355
それはそう。
ただx86は仕様は巨大だけど、ここでの文脈における、トラディショナルなOoOスーパースカラのパイプラインを効率的に動かす拡張を重ねてきたかと言うと……うん。
だから生成AIが進歩して最適化が圧倒的に捗るようになった未来では、EPIC (Itanium) みたいなuarchが復権するんじゃないかとちょっと妄想している。 Itaniumのようなコンパイラ最適化に大きく依存したCPUは無理だね
CPUの進化に対応出来ないから 効率の悪い汎用整数命令の機能アップ&命令数削減が重要 >>361
そもそもEPICが復権するとは言ってないのだが、それはさておき。
EPICが柔軟性に欠けるuarchというのは全くの誤解で、当時の論文を見てもOoOやSMT実装とか色々やってた。
コスト削減の煽りを受けて製品はさっぱりだったのだが。 大規模なCPUを前提に今から命令セットを0から作るならリッチな命令になるのは間違いない
RISCは論外 >>359
Ryzen5
512GB SSD
液晶種類 1920x1080 IPS
バッテリー 約12時間
神、いや神の上か? 未だに1920x1080をありがたがっている馬鹿にはお似合いだね 4コア8スレzen2のRyzen 5 7520Uさんじゃないですか
intelがやったらどれだけ批判されるんだろうね 無駄に邪推してるなあ。
ベーパーチャンバーや液体金属のコストが急に下がったり、保守性が劇的に向上しない限り、たとえ消費電力を増やしたくても増やせない。
TDPも消費電力もパートナー企業とのコミュニケーションの中で決まってくるもので、Intelの一存によるご都合主義ではない。
縛りの緩いデスクトップだって300Wオーバーの世界は基本的に自作OCerの特権で、製品の正式な仕様は長らく250W程度に留まっているのだから。
まあFoverosでパワーデリバリーを改善してるからにはMeteor Lakeのオペレーションレンジが広がっている可能性は否定しないが。
リファレンスモデルも実際の製品もそんな理論上の上限いっぱいの設計にはなってない (なりえない) はず。
そんなピーキーな仕様じゃ売れないからな。
と言うか発表の内容がCPUの負荷が高くない時に適切に電圧を下げる技術の発表で、ノートPCの負荷が高まる時間は1日に4分程度という前置きもされてるのに。
どうして「Intelはロード時のperformance / wattに注目するなと言っている! おかしい!! Meteor Lakeは爆熱か?!」という論調になるのか、理解不能。 その記事の動作周波数の変化をAIが担う話、
一昔前にAMDがノートでやってたことを批判してたのと関係ありそう。
ACアダプタ接続時とバッテリー使用のDCモードでRyzen mobileの動作モードが変わっていて
ACモード:少しの負荷ですぐにクロックを上げる
DCモード:7-10秒たたないとブーストを発生させない
そのような仕様にしていてスコアベンチ時は当然AC接続して、バッテリーベンチはDCモードで計測されるので
ベンチ結果と実際のパフォーマンスが異なると説明していた。
(バッテリー駆動時にクロックが上がりにくくパフォーマンスが出ないという事)
これは少なくともRyzen5000 6000シリーズにおいても同じ動作をしている。
インテルもバッテリーパフォーマンスを伸ばしたいが批判した以上はAMDと同じことはできない。
そこでAIを使うことにしたのではないだろうか。
AIによって正確に最適制御できるかわからないが必要な時に必要なだけ出力するという観点は
間違ってはいない。 大原はさんざ豪語してた10nmで一度失敗して以降のインテルをあまり信用していない様だからな IT業界はドッグイヤー、つまり5年前の出来事はリアルの35年前に相当する。
「昔 (35年前) はこうじゃった!」と報道にバイアスをかけ続けるライターって、一般的に言ってヤベー奴だよね。
まあ、ぼくは大原がヤベー奴だとまで言うつもりはなかったんだけど、>>371には大原がそのようなライターに見えてしまっているようだね。 現実問題としてデスクトップ向けはRaptor Lake Refreshでいくんだし
順調ならデスクトップもモバイルもMeteor Lakeに置き換えるでしょ
来年はArrow LakeだがRaptor Lake Refreshを置き換えるのが先っぽいし
LunarはLunarでSurface GoやGPDのように低消費電力を優先するため
とりあえず、1個だけでもいいから出荷して
「我々のスケジュール通りに製造プロセスの開発と出荷している!順調!順調!」
いいたいだけのようにしか思えんけど そもそもMeteor Lakeデスクトップって更に次世代のArrow Lakeのコア数値が8+32って言われてる中不明だったし工場一つだしで予定通り出さないだけとかじゃないの Meteor Lake-Sは噂はあったけど、公式のロードマップやそれに近い水準のリークで確認されたことは、一度もないね (唯一の例外*を除いて) 。
Linuxカーネルのパッチにずっと名前が出続けてるから存在を信じられている (いた?) 。
>>319では、もともとIntel 4はモバイル向けの省電力なプロセスという話が改めて出てきた。
仮にMTL-Sが正式な製品計画で、今も生きているのだとすれば、Intel 3採用で1H24投入がオリジナルの計画ではないだろうか。
無理矢理Intel 4のMTL-Sを出しても6GHzに到達してしまったRaptor Lakeに勝てないのでね。
そしてIntel 3が立ち上がるのは2H23と最初からアナウンスされていたから、年内にMTL-Sが出ない = Intelのプロセスが不調という論理は成立しない。
まあ、繰り返しになるが、この話はMTL-Sが正式な製品計画として存在するという仮定の上での話なのだが。
* 唯一の例外
wccftech.com/intel-meteor-lake-s-cancelled-once-again-arrow-lake-s-14-core-desktop-skus/
よく見ればキャンセルされたのは6+8のモバイル版の製品をデスクトップへ流用する計画で、しかもこの製品が本来2023年に投入される予定だったかはわからない。 中国の規制当局によって葬られたTowerの買収だが、IntelとTowerはこのような規制を無視できる形のパートナーシップを発表した。
www.intc.com/news-events/press-releases/detail/1643/intel-foundry-services-and-tower-semiconductor-announce-new
これによってニューメキシコのFab 11XにてTowerの65nm BCD (bipolar-CMOS-DMOS)、65nm RF SOIが製造可能になる。
2024年にはIFSの顧客へサービスの提供が可能となる見込みとのこと。 > MTL-Sが出ない = Intelのプロセスが不調という論理
毎回、言ってるけど、なんでもいいからモノを出せって話
百の論より一つの証拠ってね
1個だけ出荷して、予定通りです!っていわれても困るけどな 次世代の話をするスレで何を言っているのか、心の底から理解できない。
1つでも製品が出たらそれってもう現行世代だけど。 明らかに次世代CPU全般のスレではないでしょ
スレタイにIntelの2つのアーキテクチャのみが書かれてて
AMDやQualcommやAppleのCPUのことを話す人はいない 2023H2予定なら2023H2なった瞬間モノが出てないと不調! Meteor Lakeのベンチマークが出てきた。
Core Ultra 7 最大5.0GHz、Core Ultra 9 最大 (?) 5.1GHz
ターボクロックは若干の抑制、ベースクロックは60%近くと驚異的に向上している。
videocardz.com/newz/intel-core-ultra-7-155h-processor-spotted-with-16-cores-and-4-8-ghz-clock
LenovoがMTL搭載のPCを出す世界初のメーカー (の一つ) になると言われていたが、HPも負けずにSpectre x360が出しそうな気配 (ま、当然……) 。
MNからすると後々に195Hが追加されて、最大5.2GHzに到達しそうな感じもする。 >>383
でてきたな。ぶっちゃけRaptor Lake比でシングルとマルチが上がったとかはどうでもいい
消費電力と発熱がどうなったかの方が気になる
以前から囁かれていたようにLP E-coreが載ってきた
6 P-core + 8 E-core + 2 SoC E-core
これで稼働時間が延びることを期待する アスペアスペ言ってないでMeteor Lakeが予定通りいってないという証拠出せばいいのに Granite RapidsはPRQに到達する目前である模様。
www.intel.com/content/www/us/en/newsroom/resources/global-manufacturing.html
www.intel.com/content/dam/www/central-libraries/us/en/images/2023-09/newsroom-az-packaging-1.jpg.rendition.intel.web.1648.927.jpg
GNR (GNR-AP) は、コンピュートタイル*3で構成されるチップはサンプルの段階では出荷されず、PRQに到達した時点で顧客の手に届くと事前にガイダンスされていた。
そのコンピュートタイルを3つパッケージに納めたチップがついに公式の画像で確認された。
>>340でのゲルシンガーの発言通り、GNRは量産の開始に向けた最終ステップにあるようだ。
システムレベルでの最終検証が9~10月に完了すると仮定すると、GNRは11~1月にPRQに到達するものと考えられる (Intelが各ステージをどれほどオーバーラップさせているかに依存) 。
製品ローンチまで更に3ヶ月の間隔があるとしても来年の2~4月に登場する可能性が高い。 GNR-APのパッケージサイズがEmerald Rapidsの2倍くらいという話に基づいた概算だけど。
GNR-APは120 (= 40*3) コア + IMC*6 (= DDR5-12channel) でコアエリアが126、EMRは66 (=33*2) コア + IMC*4 (= DDR5-8channel) でコアエリアが70。
EMRは総面積がおよそ1500平方mmで、コアエリア + EMIB の実装に要する面積が1100平方mmくらい。
その一方でパッケージとの比率から、GNR-APはコアエリア + EMIB の面積が880平方mmくらいしかないっぽい。
タイル数が多い分だけEMIBに費やす面積はGNRの方が多いわけで、つまりGNR (Intel 3) はEMR (Intel 7) から2.25倍以上の密度の向上があるということになる。
これをSierra Forestのコンピュートタイルに当てはめると144コアでも220平方mmくらいで出せてしまう。
I/OタイルやEMIBのコストを高く見積もっても初期のAlder Lake-Sの2倍程度のコストしかかからないように思われる。
SRFはコンピュートタイルが1つの製品しか出ないというHOT CHIPSの発表は割と肩透かしだったのだが、$600~1200らへんがターゲットの製品ということだろうか。 ◇Core Ultra(Meteor Lake / Intel 4)
9 185H 16-core/22-thread (6 P-core + 8 E-core + 2 SoC E-core) Boost 5.10GHz
7 165H 16-core/22-thread (6 P-core + 8 E-core + 2 SoC E-core) Boost 5.00GHz
7 155H 16-core/22-thread (6 P-core + 8 E-core + 2 SoC E-core) Boost 4.80GHz
5 125H 14-core/22-thread (4 P-core + 8 E-core + 2 SoC E-core) Boost 4.50GHz >>388
いくらなんでもGNRのコアエリアが小さ過ぎる気がして確認したらミスって計算1行飛ばしてた。
880平方mmではなく1930平方mmくらい、で、EMIBも真面目に計算するとSRFは144コアで400平方mmくらいと出た
(EMIBはBump pitchが55umか45umかで結構変わると思うが、世代的に45umだろうと決め打ちしている) 。
これで概ね画像 (↓) のスケール感と一致した気がする。
www.servethehome.com/intel-shows-off-granite-rapids-and-sierra-forest-packages/
www.servethehome.com/wp-content/uploads/2023/09/Intel-Xeon-Sierra-Forest-Package-Assembly.jpg >>386
なんで間にラプター挟んだかもわからないなら正真正銘のあすぺ
悔しくてしかたないんだろうけど >>388 >>392
真面目に計算してる人に質問したいんだけど
Intel4とIntel3の差はどれくらいなの? Intelチップだけ使ってるユーザーからすると
2018年のCannon Lakeから続く10nm(Intel7)から早く脱却したい
Intel4とIntel3が大差ないならさっさとIntel4に移行するのが正解な気がする >>393
予定通りだとメテオまで時間かかるからラプターを挟むっていうのを予定から遅れてるとか言っちゃうのか
あと順調ならメテオデスクが出るって主張からラプター挟むから不調なんて誰にも読み取れないから ノートの板だし
デスクトップ向けなんてどうでもいいわ >>396
やっぱりアスペだったんだね……
レスするたびにボロがでるだけだからそっ閉じしとけよ…… >そっ閉じしとけよ……
意味不明、お前もアスペだな >悔しいかった
またまた意味不明、真のアスペだなw Citi Global Technology ConferenceでのIntelのCFO ジンズナーの発言から一部抜粋
www.intc.com/news-events/ir-calendar/detail/20230906-citi-global-technology-conference
・もうすぐIntel 18AはPDKのバージョン0.9が出る。
1.0への道筋が鮮明化し顧客のウェハ購入の確約が得られるマイルストーンとなる。
・Intel 18Aへの事前支払いを行った顧客 (>>340) は年初に言及した、交渉中の大口顧客2社のうちの1つ。
この顧客もウェハ購入については確定させたわけではないが、アリゾナファブ建設の頭金を支払った。
・ (18Aのアップデートを重ねることで) 同顧客とは年内にウェハ購入の正式な契約を交せるよう努めている。
もう1社とも時期は具体化していないが最終的には契約ができるものと予想している。
・DC向けのプロセッサには逆風が吹いている。生成AIブームで顧客がCPUよりもGPUに予算を割り当てているからだ。
その逆境の中でもSapphire RapidsはAI性能に秀でた性能を持つため、Intelやアナリストが予想した以上に売れている。
とは言え2023年中は在庫の削減に取り組むことになるだろう。
・A100やH100の代替案として説得力のある製品のGaudi 2は、3Qには2Qよりも大きな売り上げを得られる見通し。
来年のGaudi 3では更に大きな存在感を示し、DCAI部門でも収益のかなりの割合を占めると思われる。 Intel 18Aの顧客はどこだろう?
NVIDIAは2025年に18Aを使いそうな製品が、ロードマップ上にはクライアント向けのGPUしかない。
前年のBlackwellがTSMC N3 (N3E)と言われているので、これにはかなり疑問が。
Blackwellが18A採用で2024年に発表、製品が出回るのが翌年というシナリオなら、まあ。
そして上の論理を敷衍すると、2025年にIntel 18Aを採用しそうなアグレッシブな顧客が、実はAppleかMediaTekくらいしか残らなかったり。
(Qualcommは今年のSnapdragon 8 Gen3でもN4Pに留まるくらいコストにうるさいので、無いだろう……) 。
ということで2年後にAppleがIntel insideに回帰するかもという、少しファンタジーな話。 >>399
5chは初めてか?肩の力抜けよ
そっ閉じもわからないとか、正真正銘のアスペやん > AppleがIntel insideに回帰するかもという、少しファンタジーな話。
飛躍しすぎだし、妄想も大概にしとけ Gaudi 2がMLPerf Inference v3.1にてA100の2倍の性能、H100の80%弱のパフォーマンスを達成。
また、FP8への対応と99.9%の精度も実現。
www.intel.com/content/www/us/en/newsroom/news/intel-shows-strong-ai-inference-performance.html
プレスリリース中では、マルチモーダルのBridgeTowerでH100を超える性能を示したことにも言及されている。
AIプロセッサのロードマップも力強く前進中であるようだ。
なお (ベンダーによって多少バラつくが) H100は10ヶ月以上のリードタイムと、Gaudi 2の倍以上の販売価格が提示されていると言われている。 同ベンチでNVIDIAはGH200の結果を登録し、H100から最大17%の性能向上と謳っている。
ただベンチの結果から推定するとSappire RapidsにH100挿した場合との比較では3~4%くらいしか性能差がない。
製造プロセスがTSMC N5、LPDDR5、ボードレベルの統合などの優位があってこれは……。 IntelがThunderbolt 5の仕様を公開。前世代比で3倍となる最大120Gbpsの転送速度、240Wの充電に対応など。
搭載システムは2024年に登場とのこと。
www.tomshardware.com/news/thunderbolt-5-debuts-120-gbps-speed-is-three-times-faster-than-previous-gen
Arrow Lake or Lunar LakeでTB5にジャンプ。
これでeGPUの市場が広がれば、もっと便利かつ購入モデルの選定もしやすくなるのだけれど。 >>412
Sappire Rapidsがラップトップに載ったら胸熱
他の人も指摘しているように、ここはノート板だからな
長文、早口くんは自重して TSMC N3を採用したiPhone 15 Proが発表された。
製品そのものの評価が確定するのは分解後だろうが、プレスリリースからわかったトランジスタ数からだけでも
A16 Bionic:160億 → A17 Pro:190億 向上率:1.1875x
ちなみに2020年にN5へ移行した時は
A13 Bionic:85億 → A14 Bionic:118億 向上率:1.388x
FinFlexで高いロジック密度の向上を約束していた割に、これは?という結果。
従来通りのダイサイズでは製造が不可能なほどに歩留まり悪いか、2-1Finの性能が低すぎる無駄に設計が複雑になるなどでFinFlexがほとんど使い物にならないアイディアだったか、その両方と予想される。
ということで以前から噂されていた通り、本来はIntelやSamsungに対してリードを更に拡げる予定だったN3は、大苦戦して遅延した上、あまりよい結果を出せなかったことがわかる。
弱点を修正したバージョンのN3Eでどれだけ改善が見られるか、事実上の3nm世代のスタートが来年まで遅れることで、競合との相対評価がどうなるかは興味深い。 ずっと続いてることだが
プロセス世代が変わらないときはダイを大きくしていき
プロセス世代が変わるタイミングでダイを小さくする
A16はN5系の3回目で大きなチップ
最初のN5のA14は118億トランジスタで最初のN7のA12の69億の1.7倍
最初のN3のA17の190億トランジスタは最初のN5のA14の118億の1.6倍
おそらくA19までN3系が使われてトランジスタ数は少しずつ増えていく もう9月も半ばに入らんとしてるけどMeteorLakeくんまだ出ないの?
なんか当初8~9月までには出したいとか言ってたけど、この調子だと搭載ノート出るの結局晩秋~冬じゃないの >>418
一部のメーカー、一部のモデルは晩秋から冬にでるかもね
でも、主要なメーカーの2023年モデルはRaptor Lakeでリリースされちゃったし
Meteor Lakeが載るモデルの発表はCES 2024。リリースは2024年3月以降になるんじゃね >>419
>Meteor Lakeが載るモデルの発表はCES 2024。
>リリースは2024年3月以降になるんじゃね
最初は14型のに載るよな l_i_t_e(邪魔という方は左記をNGお願いします)
友人に教えて追加で¥4000×人数を入手。
https://i.imgur.com/N8SAzhD.jpg ゴールドマン・サックスがTSMCの2024年の業績予測を修正
www.ctee.com.tw/news/20230918700026-439901
・AMSL含む製造装置メーカーへ、納入の延期依頼があった。
・2024年の設備投資は$25~28B、最大21%減。
・3nmプロセスの稼働率は23年40%、24年71%の予想からそれぞれ36%、65%へ下方修正。 >>403でジンズナーは
「我々はGranite RapidsとSerra Forestの計画を前倒しにした。
GNR/SRFはIntel 3で製造されるため良い状態にある。
そのため私は、これが現経営陣によってなされた最も重大な変更の一つであると言明したい。」と述べていた (*)。
TSMCの3nmを使うと噂され続けているArrow Lake-Sは現在どうなっているのだろうか。
* 2021年の時点でGNRはIntel 4、SRFはTSMC N3 or N3Eで製造という話があったので、そうしなくて正解だった……という論旨だろう。 >>425-426
お前の日記帳じゃないんだから自重しろ、まじで
ワッチョイない板だから、せめてNGにほうり込めるようにコテハンつけてくれて >>427
かわいそうだな
人生で輝ける瞬間がこんなことしかないんだな >>425の続報。
サプライチェーンからの情報では宝山地区にあるTSMCのN2ファブの建設も遅れており、量産出荷は2026年までズレ込む可能性があるとのこと。
mp.weixin.qq.com/s/Xl1LwT-S780roDUBiwo_XA >>427
何の情報も無い癖に他者を貶すだけの
お前こそ自重しろ!!! Sierra Forestは最大 (?) 288コアとのこと。ワーオ。 見せたのはBirch Stream-SPのSRFなので、もしAPにも製品があるならやっぱり最大で432コアか。 パッケージのサイズがよくわかる画像を見たらSRF-APで288コアだった。
www.servethehome.com/intel-announces-288-e-core-sierra-forest-variant-at-innovation-2023/ ・Stability AIが4000個のGaudi 2を含む大型システムを導入。
・スライドの製品画像が正しいならGaudi 3はコンピュートタイル*2でHBM*8になるかも。
・Sierra ForestはSMTが有効にされて576スレッドになるようだ。
・Intel 4の歩留まりは過去10年間で最高とのこと。
・Eコア (Crestmont) はフェッチ帯域の増強まで含む、かなり大きな拡張がされているらしい。
明らかにPコア (Redwood Cove) よりも力を入れて再設計されている。
SRFでも使用する都合上、Gracemontほどのシンプルさは許されなかったということだろうか。
Meteor LakeでLP_Eコアが実装されたのは、Eコアのパワーエンベロープが上方シフトしたのも理由として大きいかもしれない。
・Lunar Lake搭載のシステム上でStable Diffusionでの画像生成がデモされる。
完成度的にArrow Lakeより早く投入できそうなのだが、ロードマップ上での順番は ARL → LNL となっている。
・Panther Lakeの存在がロードマップ上で確認される。Intel 18Aで製造とのこと。
・今年中にHigh-NA EUVの露光機が納入される。
Intel 18Aでトレーニングを行い、その次のプロセス (Intel 16A?) で適用するとのこと。
・Intel 18AのPDK0.9がリリース。
顧客の期待を裏切らない仕様となっていれば今年中に最低1つ、大口顧客との契約を勝ち取れる見込み (>>403) 。 アダマンキャッシュに一言も触れてないのは少なくとも最初は未実装ということなのだろうか >・Intel 4の歩留まりは過去10年間で最高とのこと。
これを最初から言っときゃ変な憶測を招かずに済んだのに 言っても変な憶測する某テクニカルライターいるけど? 昨日のキーノートを改めて見直したらGaudi3はGaudi2比で
・4倍のAI性能@BF16
・2倍の理論演算性能
・1.5倍のネットワーク帯域
・1.5倍のメモリ容量@144GB
とのことだった。
>>435のHBM*8だと1スタックあたり18GBとなってしまい、市場に存在しないメモリチップを使っていることになってしまう。つまりそうではない。
おそらくスライドの画像は適当に作られたもので、Gaudi3は>>313の、HBM3 Gen2を用いて24GB*6という構成である可能性が高い
(普通にHBM3eの可能性もあるが、改良版のH100やMI300がHBM3 Gen2を使うという話は出ておらず、一方でMicronは1Q24から出荷と言っているので) 。
したがってコンピュートタイルは1つで、HBM*6という、パッケージレベルではH100にかなりよく似たレイアウトになるものと思われる。
それからStability AIは"anchor customer"と説明されており、Gaudi3か、その次世代の大口契約が内定してそうな感じ。
Gaudi3はスペックからは再来年まで受注残が解消できないというH100の2倍以上のAI性能を発揮しそうなので、make sense。 >>441
やっぱりAI性能重視になってるんだな
ただ帯域幅も1.5倍ってのは凄いな >>439
※ 但し低クロックのモバイル向けのCPUに限る
だろ
そんなに順調ならラプターリフレッシュでお茶を濁すわけないだろ >>443
で、別回線で自分の書き込みに>>442のようにまでつける早口くん >>439
歩留まりは普通に企業秘密だから、たとえ役員でもペラペラ話していいことじゃない。
それでもマレーシアのツアーでは「社内目標を超える歩留まりを達成した」とは言ってたし、大した根拠もなく疑いをかける側が非常識としか……。
昨日新たに判明した情報だと、Intel 4は現時点でSkylakeより高い歩留まりというのが凄いところ。
おそらく100%に近い歩留まりを達成している。
これはもうGranite RapidsやSierra Forrestのような巨大な製品を出せてしまうわけだ。 欠陥密度の低減はどうやるのだろう?
検査装置を検査結果を疑うことはするのかな?
もしかしたら検出出来ていない欠陥があるのではないかと考えて地道に探すことをするのかな?
面白そう AMDスレみたいに長文でご託を並べるガイジに乗っ取られてしまった SurfaceイベントでもMeteor出ない?
ガチで来年なの? Surfaceって歴代で見ても発売時期が春だったり初夏だったり秋だったりして全く安定してなくない?
他メーカーも特定の機種を先行発売する所もあれば来年のCESでお目見えさせるまでは一切出さないって所もあったりで
結局どこもそれぞれの思惑でやってるからいついつって断言するの難しいんだよね >>451
来年はwin12が控えているから、来年の今頃にならないとでないかもねそういう噂も流れてるしね
Pro7+みたいに1月後半にリリースしたこともあるけど、今回も当てはまるかはわからん
WinもAIに力入れてるし、メテオにNPUが載ってるからはやく新モデルを出したいってのはあると思う
win12の要求スペックも知りたいし、自分はとうめん様子見 今年中にIntel 4搭載ラップトップは出ないんですか?
10nm(Intel 7)で今年も戦うとかネタで言ってたと思ってたけどもしかしてマジなんすか? 先日のIntel ONでは30以上のPCデザインが今年投入って言われてたけど、日本で年内に手に入るかは知らない。
>>383見る限りSpectre x360はほぼ間違いなく来るだろうから、個人的にはターゲットがそれだったので十分満足。
納期にはこだわりないし。
ちなみにMSはPC販売を頑張ったらパートナーとモロ競合するので (最期までスモールビジネスだったIntel NUCと同じ) 、Surfaceは最初から年内は無いと思ってた。
全く根拠のない単なる予想だから書かなかったけど……。 >>454
2023年中にMeteorがでるから、ゲルシンガーが就任したときにぶち上げた製造プロセスのロードマップ通りではある()
>>455も言ってるけどHシリーズは12月14日の出荷と同時に発売はあるんじゃない
そこからしたPとか?はCES2024で発表じゃないの
だって、2023年モデルはラプターでほとんどのメーカーが出しちゃってるし
さすがに半年でモデルチェンジはないよ
北森のコメントでヒルズボロの試験的な生産ラインでMeteorを作っていて
本格的な生産は建設が終わった?別のFabで来年から量産って、あったな
株主とアメリカせいふのてまえ、少量でも出さないと示しがつかないんだろうな Meteor Lake-Sの存在が公式に確認される。
wccftech.com/intel-confirms-meteor-lake-cpus-are-coming-to-desktop-pcs-in-2024/ と言うことで食傷気味のネタだと思って書いてなかった、8月に公開されたSlim Bootloaderのコード。
github.com/slimbootloader/slimbootloader/blob/ec5c39e35a3ab798c6509652869d2e951ede7b61/Silicon/MeteorlakePkg/Include/Register/SaRegsHostBridge.h
> #define V_SA_DEVICE_ID_MB_ULT_1 0x7D01 ///< MeteorLake P (6+8+GT2) SA DID
> #define V_SA_DEVICE_ID_MB_ULT_2 0x7D02 ///< MeteorLake P (2+8+GT2) SA DID
> #define V_SA_DEVICE_ID_MB_ULT_3 0x7D03 ///< MeteorLake P (6+8+GT3+ADM) SA DID
> #define V_SA_DEVICE_ID_DT_1 0x7D04 ///< MeteorLake Desktop (8+16+GT1) SA DID
> #define V_SA_DEVICE_ID_DT_2 0x7D05 ///< MeteorLake Desktop (6+8+GT1) SA DID
>>376にあるように、その後のロードマップに変更がないなら6+8のMeteor Lake-Sはキャンセルされている。
MTL-Sはメインストリームからハイエンド向けにRaptor Lakeと同じ8+16の構成で提供され、iGPUはEUが64に倍増することがわかる。
またADM$のモデルはEUが192のGT3モデルでしか提供されないようだ。
これはHaswellの時と同様、「eDRAMはCPUの性能も副次的に上げるが基本的にはiGPUのために付加する」という哲学が変更されていないとものと考えられる。 リークされたスペックから、Intel 7 UltraとIntel 4の間には200~300MHz程度クロックの差があるものと考えられている (Intel 7 Ultraが優位) 。
更にRedwood CoveはIPCがRaptor Coveとほぼ同等で(*) 8+16と構成にも変動が無いことから、MTL-SはFinFETの性能が上がるIntel 3採用で、来年の半ばに投入というのが確度の高い予想になるかと思う
(Arrow Lake-Sが再来年でMTL-Sが来年後半というパターンも考えられないこともないが、8+16のタイルはモバイル向けのHXにも提供するだろうから来年半ばの方が整合性が高い) 。
*
同時にRedwood Coveは電力効率にフォーカスしたuarchであるとのガイダンスもあった。
4.0GHzを超えたあたりから急激に消費電力が上昇し始めるGolden Cove (= Raptor Cove) の弱点が改善され、TDPの低い製品でも高クロックを維持しやすくなっていると考えられる。 ArrowLakeとDeskminiで組める日はいつになるんだろう ArrowLakeとDeskminiで格安高コスパPCを組める日はいつになるのか スレタイに、Intelって入れると
例の基地害AMD信者に潰されるだろう CINEBENCH R23 マルチ
Ryzen 5 7520U 4808
Core i7-1165G7 4720
インテルのハイエンド超えとか神の上か? iPhone15はアチアチらしいね
TSMC3nmは失敗なのかも アホの子はスナドラGen1もサムスン製はクソとかいってたからな
あれもTSMCと比べて大差ないって結果が出てたし
製造プロセスが起因する発熱なんかないわ
あるとすりゃCPU側の問題か>>470がいうように本体の熱設計の問題 サムスンがGen2の製造委託から外されたのは単にGen1の歩留まりの悪さが原因だったんだっけ? 持続処理で主体になるミドルコア
SD865(TSMC N7P) A77 2.42GHz 29.13 19.58
SD8g1(Samsung 4nm) A710 2.5GHz 30.55 14.27 ←
E2200(Samsung 4nm) A710 2.52GHz 34.97 14.46 ←
SD8+g1(TSMC N4) A710 2.74GHz 36.91 22.74
(core) (clock) (score) (perf/Watt)
N7P超えの性能はノルマだから爆熱はやむを得なかった 持続処理で主体になるミドルコア
SD865(TSMC N7P) A77 2.42GHz 29.13 19.58
SD8g1(Samsung 4nm) A710 2.5GHz 32.81 14.27 ←
E2200(Samsung 4nm) A710 2.52GHz 34.97 14.46 ←
SD8+g1(TSMC N4) A710 2.74GHz 36.91 22.74
(core) (clock) (score) (perf/Watt)
N7P超えの性能はノルマだから爆熱はやむを得なかった スマホなんかビッグコア(プライムコア)の方を多用するに決まってるだろ
ミドルコアで比較するとかベンチ脳すぎるわ プライムコアは瞬発的な処理に特化していて
最大電力動作は短時間で終わらせることが前提だから
ブーストと同じくTDPを大きく上回る電力で良いという考え方がある
ちなみにプライムコアを比べてもPerf/Wattが
8+G1のCortex-X2@3.19GHzは15.50
870のCortex-A77@3.19GHzは14.59
8G1のCortex-X2@3GHzは12.06
になってるのでクソです A17の発熱の問題は本体の放熱設計の問題ってことでいいだろ
3nmは3nmであって、CPUなりSoCを設計する側はその製造プロセスに最適な設計をするわけで
TSMCが悪いんじゃなくてCPUなりSoCの設計がクソなわけだ >>481
処理速度は9割、同じワークロードで1.5倍の発熱
酷い誤差もあったもんだ 想定する性能目標に届かないときに電力面で無理をしてしまう
そういうことは半導体業界では昔からありふれた光景 >>478
スマホなんて瞬間的な処理しかやらないだろ
継続的にフルパワー使うような処理は無い
しいて言うならゲームくらいだが
ゲーム用途で考えるとスマホの多くはCPUよりGPUの方がボトルネックになる >>485
普通のアプリが瞬時に操作できて快適なこと自体が
タスク割り当てやメモリ管理で常時OSが頑張り続けてるおかげ
インストールやアプデなどまとまった処理も多い
あとボトルネック云々ではなくて発熱の話
同じ処理量ごとに数割ずつ余分な熱を発生させているのが判るってこと PCなんてビッグリトルのIntelとビッグリトルすらやってないAMDの二択しか無いのに
スマホは3段階構成のクアルコムがシェアナンバーワンだもんな >>487
アーキテクチャの話をしてんなら、PCとスマホのタスクの処理が違うから
3段構成がシェア1位だからなに?って感じ 年末に出るIntelのやつ(Meteor Lake)は3段階構成(笑)だぞ ライゼンの性能ゴミすぎて草w
7000世代と比較しても5倍差をつけられちゃってるw
CINEBENCH R23 マルチ
Ryzen 5 7520U 4949
Ryzen 3 7320U 4887
Core i9-13980HX 28398 A17 Proのダイ分析が出た。
ダイサイズ / Pコア面積 / トランジスタ数 *()内は前世代のA16 BIonic比
103平方mm (-8.85%) / 2.18平方mm (-16.15%) / 190億 (+18.75%)
前世代とほぼ同じuarchあり、IPCが3%ほど向上してる分の補正をかけると、Pコアは密度27%アップ (単純計算だと19%)。
チップ全体では密度30%アップがN3Bのリアルな恩恵という計算。 ダイサイズは事前のリーク通り1cm角で合っていた。
これでN4とN3Bで混在してしまうようではやはり噂通りN3Bの歩留まりは相当悪いようだ。 >>488
スマホが最先端でPCは後追いする立場だよ
big.LITTLEだって何年遅れで真似してんだって話 ニューラルエンジンだって2017年のiphone8の時代から載ってた
PC用汎用プロセッサにニューラルエンジンが載り始めるのは今年から
つまり6年遅れてる A17のP-coreのCollは
デコードが8→9、整数ユニットが6→8、アドレスが4→6、
ROBやスケジューラも増量されているので
IPCが3.5%しか増えてないのは奇妙と言われてる
パイプライン盛々で性能が伸びないのはIPCももうここらで限界か
依然Armv9命令に非対応だし新しい設計チームの能力不足か 15世代って、14世代の何倍の性能になるの?
ググったけど、今一分からなくて… >>494
その遅れを取り戻すどころか一気に追い抜いちゃうからなぁ スマホが最先端は確実に嘘。
Appleが先端プロセスを積極的に採用してると言う命題なら真だと思うけど。
それってスマホだからじゃなくてAppleの資本があるからなわけで。
そのAppleにしてもM2 Proはワークステーションとしては間違いなく失敗作だし。
WSですら駄目なのだからサーバーとしては全く使えない玩具。
どんな短所にも長所があり、どんな長所にも短所があるのだ。
ニューラルエンジンもIce LakeでGNAを実装している。
2019年になったのはIntelの場合、単に10nmが遅れただけで、別にAIに対して動きが鈍かったからではない。 >>489
それは知ってるけど、笑いどころがわからんな
スマホはスタンバイ状態で着呼やらなんやらのスタンバイがあるからビッグリトルで上手いこといっていたが
PCの処理は依然としてスマホで求められているものとは違う
3段構成になっていてもスマホとPCで担わせようとしているタスクはまるで違うわけだ >>493
>>499で書いたが、スマホとPCで処理しなければならないタスク(求められているタスクともいうのか?)は違うから
PCでビッグリトルが優れているわけではない
PCの場合はスリープの状態でZoomやらの着信を待つ必要もないし
ニューラルエンジンは>>498もいってるが遅くない
というか、AI分野がようやくコンシューマーで使えるようになったのはつい最近だし
マーケティングとして必要なかったから力を入れてこなかった、ってのが正解だと思うが
スマホでAIをSoCとワンセットでやってんのはPixelくらいだし
NPUを載せてもそれを有効に活用するコンテンツがないなら載せても原価が跳ね上がるだけだから意味ないわ アップルシリコンがでたときのx86終焉説みたいなノリになってるのは草
インテルがPとEのハイブリッド構成にしたからといってモノリシック(この言葉は適切ではないが)のCPUが役目を終えるわけじゃないし
AlderのPとEは製造プロセスの微細化が思うように進まず苦肉の策にAtomを引っ張り出してきただけといえる
MeteorはLP EをSoCタイルに載せることでPとEの電力を完全に断てるから、その意味ではビッグリトルに近づいた(でも、Pを使わなくて良い場面でもPに電力を供給し続けるのは変わらない、はず)
製造プロセスの微細化と発熱の問題さえなんとかなれば、PCではbiglittleなんかいらないといえばいらないわけで
とはいえ、地球温暖化とかエネルギー問題とか騒がれている昨今だとbiglittleの模倣は時代の要求なんかな、とは思うけど
ゲハみたいな頭の悪い書き込みは止めて欲しい 毎年15億台売れるスマホの方が研究開発費がたくさん使われる
そっちの方が先に技術革新が起きるのは当たり前のこと スマホメーカーの研究開発費は、当たり前だけど将来のスマホの製品化ために費やされる。
だから例えば、20年代の後半にサーバーで実用化されると考えられているシリコンフォトニクス (>>330) なんかはIntelがほとんど独走状態。
20年以上前から基礎研究を積み重ねてきているので、今からQualcommやAppleがIntelを出し抜いてこれを実用化したサーバーチップをリリースすることはないと考えられる。 NVIDIAの次世代AIチップはTSMCの3nmを利用し、2024年の4Qに登場するとのこと。
www.digitimes.com.tw/tech/dt/n/shwnws.asp?CnlID=1&Cat=40&id=0000674895_3WH9TM3O8WKWKI62QG4PK
興味深いのは来年IntelがTSMCの3nmを利用するかが未だ不確定であるとされてる点。
つまりBattlemageはHPG (dGPU) 、LPG (iGPU) ともにN4であり、Arrow Lake-SはIntel 20A (ひょっとするとIntel 3) が本命の計画ということになる。
IDM2.0を構想した2021年の上半期の時点から比較すると、TSMCの3nm世代に対するIntelのモチベーションは極端に減少しており、ほとんど消滅しかかっているように見える。
まあ、2025年にFalcon ShoresやPanther LakeのIOタイルなどで利用される可能性は残されているが…… (PTLでPCIe6.0に移行するだろうからN3Eを採用する可能性がある) 。
また、Gaudi 3も来年の半ばに投入される見込みであることが改めて報じられている。
TSMCがチップの売り上げを計上可能になるであろう時期として言及されているので、Gaudi 3の開発がかなりのスピード感で進んでいることがわかる。 >>503
クラウドサーバーでAmazonは世界一だが
そのAmazonが2021年に導入したサーバーの半分以上はARMだったらしい
サーバーでどんどんIntelのシェアは削られていってるが
逆にIntelがスマホのシェアを奪うことはない
スマホの方が明らかに競争レベルが高い
CPU界のメジャーリーグみたいなもの AmazonのArmチップはGraviton、単にISAがArmというだけでスマホに載ってるCPUとは関係がない。
競争レベルとやらをどうやって測定するのか。測定できないものは比較できない。
他にもツッコミどころはあるが、まあいいや。 AWSのヴァーチャル富岳って本物の富岳に引けを取らないらしいな
Graviton3ってなんかすごい >>506
IntelやAMDの牙城が簡単に切り崩されて
逆にスマホには全く攻めることができてないだろ Arm厨(と、big.LITTLE厨)がまだギャーギャー喚いているのか
Apple Siliconの性能はインパクトがあったが、RISC, CISC論争の繰り返しに過ぎないのよな
そこそこの性能、消費電力の削減が求められるデータセンタならArm。そうではないデータセンタはx86-64って棲み分けになってるし
>>508
たしかに、ATOMで切り崩しを図ろうとしたけど消費電力の問題で失敗したね
でも、お前の論法でいくなら、Arm勢は消費電力を度外視したパフォーマンスではx86-64の分野は切り崩せていないw
Qualcommが鼻息を荒くしていたOryonもなんだかんだでパフォーマンスを引き上げることに苦戦しているという噂が出回っている
Apple SiliconもワンダイにCPU, GPUを封入することでパフォーマンスを引き上げているけど、Mac Proではそれが足枷になって高コストになっている
結局は適材適所なんだよ
どっちがいいとか、悪いとかそういう話ではない >>509
PCよりもパフォーマンスが求められるサーバーでいとも簡単に切り崩されてるだろ
世界一のサーバー屋さんであるアマゾンではIntel AMDのCPUが着々とARMに置き換えられている
Intel や AMDの適所なんてものはない
ただこれまで競争が少ないヌルゲーだっただけのこと サーバー
パフォーマンス:最大 電力:最大
→世界最大手のサーバー業者がいとも簡単に脱Intelしてしまう
デスクトップPC
パフォーマンス:大 電力:大
→ここだけIntelの天下
ノートPC
パフォーマンス:中 電力:中
→世界最大のノートPC販売業者がいとも簡単に脱Intelしてしまう
スマホ
パフォーマンス:小 電力:小
→ARMの天下。Intelも参入したが全く競争についていけず撤退 中国が粒子加速器を用いた国産EUV露光機の開発に挑むかも知れないという噂。
interestingengineering.com/innovation/china-giant-particle-accelerator-microchips
www.globalneighbours.org/chinas-chipmaking-breakthrough-too-good-to-be-true/
ASMLの露光機の40倍の光源出力を達成可能とのこと。 EUVの光源出力は微細加工におけるボトルネックとなっている。
光源出力が低いとは光子の数が足りないということなので、露光時間を伸ばす (生産性を低下させウェハコストを上げる) 以外に解決策がない。
こうした状況でアグレッシブなエリアスケーリングに挑んだことが、TSMCがN3プロセスの開発に失敗した原因と一つと考えられている。
imgur.com/YL8uraz
Intelは20Aの技術的な詳細をまだ明らかにしていないが、Intel 4に引き続きmetal stackにSAQPを使い続けるようならば、
EUVのスイートスポット (EUVが最適なソリューションとなる領域) というのは、意外と狭いということが明白になりそうだ
(残念ながらSAQPを実用化しているのはIntelだけなので、Intelの開発動向からしかEUVとSAQPの相対評価ができない) 。
なお現時点で最新鋭のEUV露光機とDUVを比較しても、光源出力は大体10倍ほどのギャップがあると言われている。 9月の最終週にアイルランドのFab34でIntel 4の大量生産が開始されたらしい。
www.intel.com/content/www/us/en/newsroom/news/ireland-begins-intel-4-production-livestream-replay.html
www.intel.com/content/www/us/en/newsroom/news/new-fab-ireland-high-volume-production-intel-4-technology.html
この (↓) スライドはセレモニーのプレゼンテーションから。
オレゴンにあるGordon Moore Park (Fab D1) はプロセスの開発拠点のみならず、生産拠点でもあることが改めて確認された (>>325) 。
imgur.com/deC8jwR
そしてIntelは伝統的に各生産拠点のウェハキャパシティを明らかにしていないのだが、Fab34はFab24の2倍の規模であることから6~10万/月と言われている。
Meteor Lakeのコンピュートタイルは74平方mmとすると、877個/ウェハ。
Intel 4は既に14nmの最高の歩留まりを超えているという話なのだが、それでも低めに80%/平方cmとすると、82.5%が正味の良品率となる
(2+8のコンピュートタイルは40平方mmなので良品率は86%に達し、ウェハ当たりの個数も増えるが省略) 。
したがって、 877(個) x 0.825(%) x 6~10(万ウェハ) = 4341~7235(万ユニット) 。これがFab34の月当たりの製造キャパシティと推定される。 デスクトップ用は石自体がそのまま売られるから発売と同時に手に入るが
ラップトップ用はメーカーに卸してそれをマシンに載せて出荷して…ってなるから石自体の発売日はユーザーにはあんまり関係ないんだよな 今後のロードマップはこんな感じになるっぽいな
来年のモバイルがLunarだけになるのか、デスクトップのArrowがモバイルに下りてくるのかは不透明だが……
Mobile:
Meteor Lake (2023) -> Lunar Lake and Arrow Lake (2024) -> Panther Lake (2025) -> Nova Lake (2026)
Desktop:
Meteor Lake (2023) -> Arrow Lake (2024) -> Arrow Lake Refresh (2025) -> Nova Lake (2026) >>520
ビッグエンディアンとかMotorolaのCPUでも使ってんの? ビッグエンディアン絶滅すればいいのに
ッビエグデンアィ絶ンす滅ばれいいにの global.canon/en/news/2023/20231013.html
キャノンがEUV露光機に対抗可能なナノインプリント製造装置の販売を開始。
5nm世代に対応、将来的には2nmへの適用を見込む。
マスクを通過させたレーザーでパターンを焼き付けていくという現行の露光機に対し、
ナノインプリントはマスクへ直接ナノレベルの微細パターンを作り込み、それをスタンプのようにウェハへ押し付けていくという、コロンブスの卵的なアイディアの装置。
極紫外線を生成するために、露光機の地下へ大出力のレーザー発振器を組み込むといったような、大掛かりな工事を必要としないためイニシャルコストとランニングコストの双方で優位がある。
原理的にミスアライメント何かも発生しにくそうで、それだけでも部分的にはEUVを置き換えられるんじゃないかという感じがする。
High-NA EUVは光学系とかが更に恐竜化するし、少なくともASML一強の時代は終わる予感がしてきた。 >“Arrow Lake-S”の性能向上はSingle-thread 5%, Multi-thread 15%程度
しょぼすぎわらたw 毎年15%上昇していけば10年で4倍くらいになる
ノートPCの買い替えペースも鈍化して10年くらい使えるし
次買うやつは4倍になると思えばちょうどいい 第14世代CPUの深夜販売?
MeteorLakeは12月発売じゃないのか?
なんだRaptorLake Refreshかよ… そもそもノート用のCPUはメーカーに卸すのが普通で一般販売されませんし Lion Coveは8デコード構成になると言われていたが、Skymontも4*2の8デコード構成になるとのリーク。
PコアとEコアの双方で20%~ IPCの向上が見られそうな感じ (RUはよくわからない) 。 でもRyzenの方が安いならコスパで選択肢になるんじゃないの?
i9みたいな最上位モデル欲しいのって金に糸目を付けない廃人ゲーマーくらいだろうし IntelとRyzenの差って、CPUがIntelの方が上で
GPUがRyzenの方が上ってこと? >>527
電気を食わせてAMDに食らいついているのが今のインテルだからな
製造プロセスが一歩進んだことによって性能向上はその程度。だけど、消費電力は一気に減る
ってなことを期待したい
北森のコピペなんだろうが
お前の書き込みより、北森のコメント欄の方がはるかに含蓄に富んでるぜ。見習えよw
やっぱり、新しい製造プロセスはクロックがあげられないのか。ってコメントがあったが、10nmとおなじ状況だとしたら、インテルとしてはなかなかつらいな >>532
なお、消費電力
ってか、ここノート板だし。自作板でやってなさい Intelがアリゾナに建設中のFab52,62の双方で最初のクリーンルームが完成し、エアーの流入が開始。
先日のIntel innovationで公約として掲げた25年の量産開始に向け、スケジュール通りに進行中とのこと。
www.intel.com/content/www/us/en/newsroom/news/arizona-expansion-marks-construction-milestone.html TSMC「N3PはIntel 18Aに対して提供時期、コスト、技術的な成熟度の点で優位性がある」とのこと
wccftech.com/tsmc-places-confidence-in-3nm-node-compares-intel-18a-better-maturity-cost-delivery-time/
決算での質疑応答なので信頼度は非常に高い。
つまりN3Pは18Aより早い時期に立ち上がり、ウェハの値段も18Aより安い。
その一方で電力、性能、密度においては18Aが優位であることがわかる。
両プロセスは既にPDK0.9がリリースされ仕様が固まっていることから、相対的な力関係は変動しないものと考えられる。
なお"技術的な成熟度"は18AのGAA + PowerVIAというイノベーションに対し、古いFinFETを使い続けることを正当化する、一種の修辞表現だろう。 またインテルが負けたのかw
【藤井聡太】AMDのZen4 Threadripper Pro 7995WX、M2Mac Proの3倍以上の性能か?CineBenchR23スコア100,291 [193050788]
https://greta.5ch.net/test/read.cgi/poverty/1697896216/ Oryonも正式に発表されたな
競争があるのはいいことだ 年間3億台しか売れないPCより年間15億台売れるスマホのチップの方が研究開発費が大量に投下されてより革新的なものになる
そのスマホのチップがPC市場に参入してきたら伝統的なPCチップ作ってた企業は負けるだろう Intelも新しいIntel4プロセスが稼働すれば
スナドラXと似たような電力あたりのパフォーマンスを達成するだろう
GPUやAI性能も同等になるだろう
しかし、価格競争で勝てるだろうか?
自社ファブで1億枚作るチップよりも
TSMCに10億枚チップ作らせるほうがスケールメリットで製造コストが下がるはず
また5Gの性能で雲泥の差がある
Intelが載ってるノートPCはSimカード刺したりできないけど
スナドラが載ってるノートPCはSimカード刺して5Gでネット繋げる
IntelのCPUとスナドラ製5Gチップを両方ノートPCに載せることもできるが
コストが増大するので最初からスナドラの統合チップが載ってるノートPCよりも割高になる
そうして徐々にIntelのノートPCは売れなくなっていく
売れなくなっていくと研究開発費が削減されてCPUやGPUアーキテクチャを改良する金が無くなる
またたくさん売れないと自社ファブを更新することもできなくなる
良いチップを作れなくなる→さらに売れなくなる悪循環に入る
今から約10年後、2035年くらいには肝心のCPU性能やGPU性能でも勝てなくなるのではないか お前、インプレスの山田みたいなポエム書くよな
インプレスに掲載してもらえるように頼んだら?w Intelが設計、開発をしているx86-64のCPU
Intelが自社のFabで製造しているCPUとかの半導体
なんか、ごっちゃにしてないか?w
Armの市場規模が大きくなるならIntelもArm(なりRISC-V)を設計、開発すればいいだけの話
で、その設計、開発したものを自社Fabで作ればいいだけの話
まー、Intelはi860とかIA-64とかも作っているものの、なんだかんだでx86に戻ってきている
Intelがx86以外のアーキテクチャの分野で覇権を握っているのはイメージできないけど
AMDもMSと共同でArmプロセッサを開発しているって噂もあったが立ち消えになったんかな >>545
同じARMという土俵に立った場合、クアルコムにどうやって勝つんだろう
TSMCのほうが10倍のウェハー製造してるから
コスパで勝つという前提自体が厳しい
しかも5Gでは世界中のスマホ向けにモデム作ってるクアルコムの技術水準に追いつくのは無理
PCメーカーの立場になった場合
CPUやGPUが同じくらいの性能だけど価格が高くて、5G性能が大幅に劣る
そんなIntelのチップを使う理由が無い Intelの強みはCPUでもGPUでも省電力でもコスパでも5Gでも無い
x86アーキテクチャであるという一点しかない
x86 CPUを作れるのは世界で実質的に2社しかいない寡占状態だった
ウォーレンバフェットの言うところの「堀で守られてる企業」だった
しかし状況が変わってきた
コンパイラの改善、クロスコンパイル環境の普及、そしてLinux/Android/iOS/macOS/Windows全てのメジャーOSがARMに対応し
完全に外堀が埋まってしまった Intelは過去にXScaleってArmなCPU出してた これからはbig.LITTEが市場を席巻する!Intelは猿真似!Intelは猿真似!Intelは猿真似!Intelは猿真似!
↓
【笠原一輝のユビキタス情報局】IntelやAppleを超越したSnapdragon X EliteのCPU性能
https://pc.watch.impress.co.jp/docs/column/ubiq/1542491.html
> SoCにそのOryonをどう実装したかは明らかにした。
> 具体的には、OryonのCPUコアは4つで1つのクラスタとなっており、
> Snapdragon X Eliteではそのクラスタが3つ搭載さる形となる
> (なお、CPUはbig.LITTLEデザインではなく、パフォーマンスコアのみとなる)
> (なお、CPUはbig.LITTLEデザインではなく、パフォーマンスコアのみとなる)
> (なお、CPUはbig.LITTLEデザインではなく、パフォーマンスコアのみとなる)
> (なお、CPUはbig.LITTLEデザインではなく、パフォーマンスコアのみとなる) クアルコムやMediaTekのチップ販売数は既にIntelを上回ってる
すでに2社ともIntelよりも格上なんだよな
(MediaTekのチップはWindows対応されてないから現時点での脅威ではないけれど)
過去30年はAMDみたいな弱小相手と争うだけでよかった
これから先の数十年はIntelが対峙したことない格上と争わないといけない 3Q23決算
「IDM2.0を開始して以来、最も力強い四半期」
・Arrow LakeはOSブートを達成。2024年の投入に向けスケジュール通り進行中。
・Meteor Lake、Emerald Rapidsは既に出荷が開始している。
・Sierra Forestの製品ステッピングが検証段階。Granite Rapidsの製品ステッピングは製造中。 ・Intel 18AとIntel 3の双方で主要顧客1社との契約を勝ち取る。
>>340の事前支払いを行った主要顧客1社がIntelと正式に契約。IFSの事業が大きく進展。
契約者は明かされなかったが “big die design” というヒントが出たので、NVIDIAかAppleだと考えられる。
ちなみに主要顧客とはTSMCの売り上げ上位10社のことで、Intelはこのうちの7社と交渉していることを、21年の段階で明らかにしていた(その後に交渉する相手は増えたと思われる)。
・Intel 18Aは更に2つの顧客と契約。
こちらはHPC向けの製品とのことなのでウェハの枚数はあまり多くないかも知れない。
更にゲルシンガーは「年末までに更にもう1社との契約を勝ち取りたい」と述べた
(この決算では言及されなかったが、このターゲットとなる1社は主要顧客だろう)。
・パッケージング技術のFoveros / EMIBにも2つの顧客がつく。
AIへの関心の高まりを受けて、とのことだがこれ以上の説明は無し。
規模としては数億ドル程度で、6~9ヶ月もすれば売り上げに反映されるだろうとのこと。 ・Gaudi2の売り上げは前四半期から更に倍増。
ボトルネックがどこにあるのかは言明されなかったが既に供給が厳しくなってきているとのこと。
(H100ほどではないにせよ受注残が発生してきている?)
・データセンター部門が増益し、黒字転換。
コア数の多いSapphire Rapidsが多く売れASPが改善されたとのこと。
データーセンターでは現在、予算の大半がGPUに振り分けられてるので、Genoaより2~4.5倍ほど高いAI性能を実現するAMXが好評ということだろう。
EPYC発表以降のコア数競争では風下に立っていたIntelだが、どうやらAI性能の競争ではリードしたとの確信を得たようで、「来年以降はシェアを取り戻し始める」とGNR/SRFのポジションを上方修正している。
・PC向けのARMチップに関して
結論から言えばIntelはあまり大きな売り上げにならないと考えている。
他方でMeteor Lakeから始まるAI PCへの強い需要を、マーケットから受けている。
要するに自社製品グループはボリュームゾーンに焦点を当てるべきという方針。
PC向けARMのような製品は、製造部門となるIFSの顧客として獲得していく方向性。 韓国のポータルサイト最大手であるNaverが自社で採用するAIチップをNVIDIAからIntelへ移行したとのこと。
www.kedglobal.com/tech,-media-telecom/newsView/ked202310300017
H100のリードタイムは52週へと拡大し、価格も約6万ドルとほぼ倍増したことを承けて。
アナリストはIntelへ移行する動きがNVIDIAの一強体制を崩す可能性があるとしている。
H100のリードタイムは生産体制の増強により次第に短縮していくと予想されていたので、
予想に反してついに丸1年にまで到達してしまったのは驚き。
このケースと同様の決定が、Emelard RapidsやGranite Rapidsの売り上げに好影響を及ぼす可能性はあるだろう。
Gaudi3は技術的な詳細が不明なのだが、現在ボトルネックになっているとされる後工程に、
IFSの製造キャパシティを用いることができるかは注目すべきポイントだ (EMR, GNRは可能) 。 英国内で最高のAI性能を持つスーパーコンピューターDawnが運用を開始。
www.intel.com/content/www/us/en/newsroom/news/intel-dell-power-uk-fastest-ai-supercomputer.html
Dawnはケンブリッジ大、Dell、Intelの共同開発。
同大学内のオープンゼタスケール研に設置されるとのこと。
設計開始から半年でフェーズ1に到達し、運用が開始された。
来年のフェーズ2にて性能を10倍にし1Exa Flops (FP16*) を達成する見込み。
* 用いられているのがPonte Vecchioなので理論性能はFP64で0.5Exa、BF16では8Exaになる計算。 ゲルシンガーは一昨年にはIntelもゼタスケールに取り組むと言っていたけど、
いま最もホットな市場は誰が見てもAIなので、どこまで本腰を入れて取り組むかはわからない。
実際Ponte Vecchioの後継になる予定だったRialto Bridgeも、今年の3月に、
計画が明らかにされてから半年もしないうちにキャンセルされている。 > チップ販売数で勝ち負け決めるのは視野狭窄すぎる
チップの販売数が増益に繋がって、それがシェアの拡大にも繋がるわけで
なにいってんだ、こいつ 内蔵グラでPS5超えてたらSwitch2のグラ凄いことになりそう Apple Claims M3 MacBook Pro's 8GB Equals 16GB On PCs
www.tomshardware.com/laptops/macbooks/apple-claims-m3-macbook-pros-8gb-equals-16gb-on-pcs
さすがに草。 あぽーしりこんは、Armでもx86に匹敵する性能のCPUを作れるんだぜって世間を驚かせたけど
世代を重ねるごとに残念なことになってるよなあ
ゲルはLunar推しみたいだし、それでアポーシリコンとオライオンにワッパで戦えるかなあ 128EUのメトロレイクの内蔵GPU
780Mと完全に互角らしいなw Nvidiaは25年に18aを使ってX100 GPUを生産する可能性がある Core Ultra 搭載確認製品
Dynabook PORTEGE X40L-M Core(TM) Ultra 7 165H & Ultra 5 125H
HP OMEN Transcend Gaming Laptop Core(TM) Ultra 5 125H
HP HP Spectre x360 2-in-1 Laptop Core(TM) Ultra 7 155H
Galaxy Book4 Ultra Core(TM) Ultra 9 185H
Galaxy Book4 Pro Core Ultra(TM) 7 155U
MSI Prestige AI EVO Core(TM) Ultra 7 155H&Core(TM) Ultra 5125H
Vivobook N6506MU Core(TM) Ultra 7 155H
Zenbook UX3405MA Core(TM) Ultra 7 155H
MSI Stealth 14 AI Studio
MSI CreatorPro 16 AI Studio
Sierra Forestの出荷 24Q1 Granite Rapids 24H2 を確認
みずほ証券が今後6か月でサーバー,クライアントの重要な製品,ファウンドリー事業の顧客を発表することから$INTCを「買い」に格上げ あいかわらずデルは発表がおせーなぁ
早くて来年2月かね 現在までにネットに記録されたベンチマークから確認できたモデルだから今後発売が近づくにつれて増えるだろう 7520Uは5万以下とかならありかなって感じ
内蔵グラフィックがVEGAからRDNA2に切り替わってるので性能はともかくサポートは長そうだし
そんなことよりryzen7 7840Uが載った13インチノートを20万以下で出してほしい
メモリも自由に交換させろ Intel Core Ultra 7 155H & 155U Xiaomi,ASUSの他のモデル,Lenovo 125H モデルが掲載
Fabの立ち上がりのおかげか搭載モデルが現時点でこれだけ出荷されてるならICLレベルではなくなりましたね lunalakeは32GBLPDDR5X-8533オンパッケージらしくて結構面白そうだな で、搭載モデルは結局いつ買えるの?
もう待ちくたびれた 元記事ちゃんと読んだか?
videocardzは電力滅茶苦茶盛ってるんじゃないかって疑ってるぞ まぁまぁ、発売まであと20日くらい、早ければそれよりも前にお漏らししてくれる人が出るまでまとうじゃないか >>539
Intelのプロセス開発の総責任者であるAnn Kelleher女史のインタビュー
www.youtube.com/watch?v=SOY0Yh8y-5Q
2021年には『ラボレベルでの話』とされていたperformance per wattについて、
Intel 3 → Intel 4から18%の改善
Intel 20A → Intel 3から10%の改善
Intel 18A → Intel 20Aから10%の改善
を見込み通りに実現できるとのこと。
なおTSMCのプロセスは、
N3E → N5から18%の改善
N2 → N3Eから15%の改善
と説明されている。 N(X)Pって結局Finの数減らしてリーク電流増加を前提でクロック上げる性能重視のプロセスでしょ
N3からはFinFlex導入してるから企業によりけりだろうけど,
20AからはGAA導入だから同一のセルで倍近いトランジスタ詰めるのが利点だから純粋な密度では20Aの方が上になるんでは GAAはゲート長ではよくスケールするが、逆にnMOSとpMOSの間隔を縮小するのが難しいと言われている。
だからSamsungの3GAEもゲート長はN3より短かったのに密度では N3>3GAE>N5 という関係だった。
逆に言えばこの課題が解決できるという楽観的な見通しが、フォークシートからCFETへというテクノロジーロードマップであるいう話でもある。
またSMICの7nmプロセスなんかは1-1Finで密度を稼いでいるという話もあり、FinFETの最終世代では極限のDTCOが行われるわけで。
立ち上がったばかりのGAAが密度でキャッチアップするのは結構大変かも知れない(逆に言えば伸びしろがある)。
とは言え研究の動向を見る限りロジックはともかくSRAMの未来はかなり厳しそうで、これはFoverosでなんとかみたいになるかと。
だからまあ、IntelにとってもFinFETの最終世代であるIntel 3は重要で「多様な製品に用いられる長寿のプロセスになる」と説明されているわけだ。 intel 20Aは15%アップって言われてたから間違えてそう
合ってるとするならintel 18Aの10%アップも影響受けてそうなのに変わって無いし Arrow LakeのiGPUはXe-LPG PlusでXMX付になるって
-sのiGPUにテコ入れするって珍しい https://gamma0burst.tistory.com/1096
スライドの情報に関してはかなり古いけどPantherの次はNova lakeのRoyal Core導入で決定かな IPC大幅向上させるRoyal Core導入が2026年くらいか?
てことはM1が販売されて6年くらいはIPC負けっぱなしってことになるのか
そもそもM1はiPhoneのコアと同じやつを使ってるものであって別にパフォーマンスを追求してるものではない
パソコン用CPUの業界ナンバーワンのはずが
スマホメーカーが片手間に作ってるスマホ用CPUコアのIPCに6年追いつけない…
考えれば考えるほど恐ろしい
どうしてこうなった スマホ向けは消費電力上がりがちな高クロックは苦手でもいいから高IPCを追求してる
スマホメーカーでもないし片手間でもない apple siliconは結構なハイパフォーマンス志向だけどね
M1M2、A15A16あたりはコアのサイズがzen4とほぼ同じぐらいで、デカいキャッシュに加えALUもデコード数もROBも相当リッチな仕様になってる x86勢はピークパフォーマンスのためにぶん回す前提の設計だから、クロックあたりの性能比べても大した意味はないけれど 12月の発売に向けてPC WatchがMeteorLakeを持ち上げる連載やってるのがナニな感じ
状況に応じてEコアを高性能コアにも変化させるMeteor Lake
https://pc.watch.impress.co.jp/docs/column/silicon_exp/1550691.html 新MacBook Pro(M3)でも機密情報が漏えい
2020年以降のApple製品全てに脆弱性
https://www.itmedia.co.jp/news/articles/2311/30/news070.html
この脆弱性は、AシリーズとMシリーズ(M1、M2)のチップが搭載された2020年以降にAppleが製造したの全てデバイス(iPhone、iPad、ラップトップ、デスクトップ)を対象としている。またSafariブラウザだけでなく、iPhoneやiPad上で実行されている全てのブラウザ・アプリを標的としている。
この発表が10月のことで、今回新たにリリースされたM3チップと最新のmacOS 14.1.1とSafari 17.1を搭載したMacBook Pro上でiLeakageが実行できるかを試したところ、同様の脆弱性を確認できた。
M系(+A系)全滅 MacBookはスモールビジネスだから、セキュリティ対策も当然それなりで穴だらけになるだろう、というのはM1が出る前から指摘されていたけれど。
A系まで全滅するのは率直に言って醜い……。
修正パッチのリリース予定にも言及がないし、どれだけセキュリティ対策に力を入れて【いなかった】んだろう。 それくらい設計思想が今までと異なるからでしょ。あの記事の内容を見ただけで持ち上げに見えるなんてかわいそう 12世代13世代モバイルの実装ってデスクトップ向けと全く同じなのだろうか?
ZEN4みたいに高効率向けのプロセス使うのは無理でもセルハイトの低い高効率のライブラリに変更したりとか、リーク電流への耐性を下げて配線幅を狭くしたりとか・・・ IntelのCPUはバグだらけ、AppleがIntelに修正するように依頼したが耳を貸さない
だから、Intel(x86)からApple Silicon(Arm)に切り替えたって経緯があるのに>>603は草
>>606
Windows10とWindows11っていうOSのくくりだとタスクスケジューラーの実装は違う
デスクトップとモバイルっていうくくりだと一緒じゃないかな
Zen4みたいに高効率向けのプロセスっていうのは製造プロセスルールの話なんかな
それは逆立ちしても勝てないから、12月14日に正式発表されるIntel 4のMeteor Lakeまで待たないと駄目だね
でも、本当に電力効率っていう観点での高効率なCPUはLunar Lakeまで待たないとあかんらしいけど
ゲルシンガー自身がそんなこと言ってたような気がする
> セルハイトの低い高効率のライブラリに変更したりとか、リーク電流への耐性を下げて配線幅を狭くしたりとか
「我が社(Intel)の10nmプロセスは他社の7nmと一緒だー!」って言い張ってた時代はあったけどね
配線幅云々は半導体業界で共通の規格ってものがないからなんとも言えない Raptorlakeでは-Uと-Pや-Hで動作クロックに大した差がないので省電力ライブラリは使えないだろう https://twitter.com/3DCenter_org/status/1731230619743772872?t=pjpeTOPe1UnelqQmjAL02g&s=19
3DCの投票結果 ラプターレイクリフレッシュの第一印象
3.4% - 好意的(過去最低)
17.2% - 普通
79.4% - 否定的(過去最高)
1.6%-購入の可能性あり(過去最低)
ついに2011年のブルドーザーの結果を上回るモノが現れた😆。
Rapdozer爆誕!
https://twitter.com/thejimwatkins メテオレイクさんi9
ラプターレイクi7より性能低くて話題にw Raptor Lakeは電力をたくさん食わせてシングルスコアを上げてるからなあ
Meteor Lakeはワットパフォーマンスを改善するためのものだから比較してもいみないだろ
デスクトップ版はスキップしてモバイル版しかださないのもそういうわけで
まー、その、わっとパフォーマンスが良くなっているかも、でてみないとわからんけどさ
Raptor Lakeと比べたいなら来年の今頃に発表されるArrow Lakeとだろ あれ?でも、Arrow LakeもRaptor Lakeと比べてIPCの向上は一桁とかになるんだっけか?
なんか、北森でそんなの目にしたような気がする
ま、クルザニッチ時代が余りにも酷すぎたな
Nova LakeとかRoyal Coreとか。研究開発が実を結んで世に出てくるまでは残念な感じは続くだろうね
AMDがTSMCに製造を委託していてモバイル分野まで手が回らないのが、Intelにとってはせめてもの救い
ただ、Qualcomm Oryonなんかもでてくるからモバイル分野も苦戦を強いられるかも
Lunar Lakeでどこまで戦えるか期待 性能が求められるコンピューティングはほとんど
大規模なサーバーで行うことができる
PCに習熟したプロフェッショナルであればあるほど、サーバーに作業をオフロードさせることが得意
IPCが多少向上しても、もはや普通の人にはどうでもいいところまで来ている
普通の人がIPC 8%向上した!すげえ!新しいPC買おう!とはならない
プロでも普通の人でもないこのスレにいるようなマニアしか喜ばない領域に入ってるのではないか 仮想立ててお勉強環境作ってるから、コア数はいくらあっても良い >>615
> プロでも普通の人でもないこのスレにいるようなマニアしか喜ばない領域に入ってる
それはあるよね
IntelもIntelのCPUを載せたラップトップメーカーなんかはゲーミングを訴求しているくらいだし
スマホでいうカメラの高画素化、高画質化みたいなもんだね
iGPUとかNPUとかそっちが重要になってきてるわな
>>618
それはわかるけど、Eコアましましのインテルはちょっとな
スリッパとかZenの方がいい
Intel NxxxはいいCPUだと思うけど
昔のAtomなんて消費電力は低いけどもっさりで使い物にならんかったから隔世の感がある 念のため言っとくと14世代(RaptorLake Refresh)はもう出てる
MeteorLakeはCoreUltraなのでこれまでの第何世代とは別になる MeteorLakeのcinebenchスコアってもう出てたっけ? 調べたら、RaptorLake RefreshはデスクトップPC向けで
MeteorLakeは今月の14日に発売じゃん ノート向けCPUの発売日って一部のメーカーが搭載機種を先行販売する日って意味だからあまり意味ないよな
そこから更に3ヶ月くらい待たないと各社とも本格的に出さないし >>627
Intel4=7nmだからそう
インテル自身のアピールで他社の4nm相当らしいけど Meteor LakeはIntel 4(nm)で、>>629がいうように旧7nm
Intel 7, Intel 4, 20オングストロームとかは他社基準(まあ、TSMC)にあわせた >>628
12月14日の発表と同時に一部のメーカーがゲーミングとかハイエンドを発表
来年早々に開幕するCESで各社発表、受注, 出荷は3月以降とかじゃないかなー
国内メーカーはそれよりも遅くて6月とか9月になりそう(例年そんな感じだし)
Windows12は9月発表?いや、それよりも早くて6月にはWin12対応のPCが各社からでてくる
みたいな噂があるから、国内メーカーもはやければ6月には出そろうんじゃないかな P−コア4つでいいから、Eコア32積んだ変態を出してほしいよね 明後日、Meteor Lakeの発売日だね
楽しみだなぁ MeteorLakeでなんか買おうかと思ったが、金がねーから20AのArrowLakeまで貯める事に決めた 一部モデルは15日発売だ
ハイエンドモデル,ローエンドはCESで発表 125H 112EU
135H 155H 165H 185H 128EU
125U 135U 64EU
こんな感じかな >>636
Arrowはモバイルに下りてくるのかね?
↓な感じになるんじゃね?って思うのよな
デスクトップ:
Raprot Lake -> Raptor Lake Refresh -> Arrow Lake
モバイル:
Alder Lake -> Raptor Lake -> Meteor Lake -> Lunar Lake
Lunarは低消費電力向けって話だから、ラップトップハイエンドはArrowになるのかも知らんけどさ >>637
Ultra 9が発表と同時にいくつかもモデルがリリース(HP? Asus?)
Ultra 7とかミドル、ローはCESでローンチみたいな話があるね Ultra9 185H Ultra7 165H Ultra5 Ultra7 UシリーズがCESあたり
発表即日は125H 155Hらへん,各々の欲しいOEMモデルがいつ出るかわからないがメジャーなところから中華のよくわからんメーカー
にも既に渡ってるのを見ると国産メーカーが採用するのも来年春くらいか,各社ともdGPUをあまり搭載しないでもらいたいが 負け戦になるXeonを先に発表するだろうから1時頃と予想 usb次のUSBとmeteorとwin12が揃って
しばらく様子を見てlinuxが対応してから
thinkpad買うかな USB 4 ver2.0だっけか?自分はTB5かなあー
まー、そんなこと言っているといつまで経っても買えないんだけど
Win12が正式に発表されてからでも遅くないね
ArmでよければOryonもWin12正式発表で出てくると思うし
ThinkPadはもう勧めないけど(中華だからってわけじゃなくて、キーストロークが浅くなったりといいイメージがない) USBって3でバージョンいっぱい作って混乱させてしまったからって事の反省で4は4のみって宣言した筈なのに結局Ver2.0とかやるのか… >>652
反省を踏まえてるから3と違ってあくまで技術的な分類の為に留めて商品のパッケージには使用されない
USB4 xxGbpsが基本表記でそこにPD、TB、DPなどへの対応有無の表記が乗っかる形 さてMeteorLakeが乗ったPCが次々発表されてるわけなんだけど、
なんでみんなGPUはCPU内蔵なんだ?
良くなったとはいえGTX1650くらいなんだから普通にRTX4070とか積んだやつ欲しいよ >>654
ほとんどの人間にはそんな性能は不要だからだろ ヨドバシとかで今日から展示されるんか?されるなら見に行きたい AMDだと内臓GPUだけの機種はなかなか出なかったのに、Intelはやたら出てくるな
1650ぐらいの性能なら十分か 今回はCPU性能はさほど向上せず
GPU性能とまぁまぁ伸びたバッテリーがアピールポイントだから
dGPU搭載しちゃうとそこら辺がスポイルされちゃうもんね iGPUなんて十数年前からあるのになにいってんだか
PrecisionとかThinkPad PとかゲーミングラップトップなんかはdGPU載ってるし
適材適所だよ >>659
なぜMeteor Lakeでは2種類のEコアがあるのか
https://pc.watch.impress.co.jp/docs/news/1532473.html
第12世代/第13世代CoreのIntel Thread Directorでは、CPUからのフィードバックを元にして、高い処理性能を必要とするスレッドをPコアに割り当て、そうではないものをEコアに割り当てるなどの処理を行なっていた。
それに対してMeteor LakeのIntel Thread Directorでは、まずできるだけSoCタイルの低電力Eコアに割り当てる。そして低電力Eコアでは処理しきれない場合に、コンピュートタイルのEコアやPコアに割り当てるという仕組みを採用している。
Eコアの下位コアみたいなのが2個追加になってそっちから処理が回されるようになったらしい。 日本エイサー、Core Ultra 7搭載モバイルノートを本日発売
https://pc.watch.impress.co.jp/docs/news/1554902.html
駆動時間は約9時間って短いよね(笑) そこはゲルシンガーも認めていて、電力効率はMeteor LakeでもArmには敵わない
Lunar Lakeで挽回するっていってるから仕方なくね
駆動時間重視ならOryonを買えばいいし >>662
例えば、テキスト打ち程度なら、LP Eコアのみで動作するんで、M1 MacBook Airなみにバッテリー持つとかないのかな? ハイエンド構成しか買わないから今回の発表は魅力ゼロ
13980HX + 4090でぶん殴るのが正義 今年中に100万台出荷来年までに1億台出荷だとよ,かなりパッケージング頑張ってるね どうせ電源繋ぎっぱなしやし
13世代ラップトップが最強やがな >>669
旧10nm(現Intel 7)で足踏みしすぎて株主さまからの突き上げも凄いものがあったからね
Intel 4では絶対に失敗しない、順調だってアピールしないとね 早期のMeteor Lakeにはスケジューリングの最適化が十分ではなく現在コードを適用することで10%の電力効率を改善しました。
だとさ Hシリーズだからな。草生やして煽っているつもりが自分の無知をさらけだしていて草 馬鹿「Hシリーズだからな。草生やして煽っているつもりが自分の無知をさらけだしていて草 」
くやしいのうw まあQualcommのOryonはPコアのみなんだけどね バックグラウンドエンコ時に遅くなる事例が直ってるのか否か Meteor Lakeの内蔵GPUはAMDの内蔵GPUより、高速なんでしょうか? Meteor Lakeの内蔵GPUはAMDの内蔵GPUより、高速なんでしょうか? >>687
速報!!インテルの新世代CPU「Core Ultra」の速度をAcer「新Swift Go」でベンチ計ってみた
https://ascii.jp/elem/000/004/175/4175855/
CPUは「Intel Core Ultra 7 155H」
Pコア×6、Eコア×8、LPEコア×2 いちいち見るのめんどいからゴミかそうでないかだけ端的に書き込んで メモリ速度とiGPU性能の相関も検証されている。Memory Speed Sensitivityと題されたグラフがそれだが、メモリ速度が同じであればTime SpyのスコアはCore Ultra 7 155H>Core Ultra 5 125H>Ryzen 7 7840HSの関係となっている。決してCore Ultraがより高速なメモリでゴリ押しした訳ではないことがわかる。 電力との関係も検証されている。“Power Efficiency”と題されたグラフがそれである。低消費電力な領域ではRyzen 7 7840HSが優位だが、CPU package powerが25Wを超える程度でCore Ultra 155Hが上回るようになる。 >>677-679
どうした?自分の無知をさらけだしたことに気づいて逃げたか?
HとUの違いを慌てて調べて自分がどんだけあほな書き込みをしていたか気づいて逃亡しちゃったかな? ググっても出てこなかったんだけど
Core Ultra 165Hって、何時発売なの? https://ascii.jp/elem/000/004/175/4175909/
もう氏の言ってる爆弾爆弾(何回目かな?)って掲示板レベルの投稿を大はしゃぎして記事書にしてるようにしか見えないんよな、最後の方とか嬉しそうw
「ほらMax 115wも消費する!こ、これは爆弾だ!本当に爆発するのか知らんけど。でも115wもだす製品と34wの製品を比べて速いって言うのはおかしい!」
ちゃんと28wで計測されいることは海外の動画で確認できる。そしてちょうど年の瀬である、氏のここ一年の投稿した記事を振り返ったらどうだろうか。
次回の氏の記事の題名「 」 今回出たMeteor〜lunar lakeの何処かでノートパソコンは新調するのがタイミング的にはいいんだろうけど
新アーキテクチャーの初代メテオは見送って、ArrowかLunar買うのが一番いいのかな
2016年のVAIOZだから、そろそろ買い換えたいのよなぁ... 似た経緯と思考で安いwin11モバイルノート買い足した
新アーキテクチャがこなれて12標準になる頃ちょいお高いやつ注文する 12が出たばかりは危なすぎる
さらに次世代にするべし linuxが対応してくるのに半年以上はかかるだろうな ノートpcなんで、30Wで動作するRyzen 7 7840Uの方が優秀に見える。
実際の比較はZEN5コアの8000番台になるだろう。 >>703
その頃にはLunarがでてるだろ
AMDのモバイルは一年遅れが慣例なんだから 2024年はZEN4の8000番台、2025年の頭にZEN5モバイルの発表があって搭載ノートが出てくるのは7月頃か UPLIFT プレミアム・サービスのお知らせ
https://uplift.5ch.net/
UPLIFT 主な特典
・連続投稿の規制を緩和します。
・スレッド作成時の規制を緩和します。
・5ch.netのスレッド表示画面に表示される広告を除去します。
・5ch.net専用ブラウザで5ch.netの過去ログを閲覧できるようになります。
・海外からのアクセス・ホスト経由からでも書き込みができるようになります。
・書き込みが規制されているプロバイダーからでも書き込みができるようになります。
・5ch.netを安定して利用できるように運営を支援できます。
5ちゃんねるを存続させるためには、皆様のご協力が必要です。
最後まで御精読いただきありがとうございました。 https://www.phoronix.com/review/intel-core-ultra-7-155h-linux/12
全370のベンチマーク結果の幾何平均をとると、これらのLinux CPU性能ベンチマークにおいて、Ryzen 7 7840UはIntel Core Ultra 7 155Hを28%リードした。 これは、Ubuntu 23.10、Linux 6.7カーネルを各システムのデフォルトで使用したこれらのテストで、Ryzen 7 7840UがCore Ultra 7 155Hと同等かそれ以下の消費電力を実現している間の話だ。 また、Core Ultra 7 155Hは、Ryzen 7 7840Uよりも電力スパイクが著しく高い傾向があった。 Copilotの出番がどの程度あるか分からんが、
これを使うとしたら今のやつでintel/AMDとも30~40TOPsぐらいだからそれでもしんどい
もう実質的な足切りかな?
【悲報】Windows 12さん、全てのグラボ非搭載PCを葬り去る [858219337]
Windows 12のCopilot推奨HW環境、既存ノートPCでの利用は困難か
Windows 12にはCoPilotというAIアシスタント機能が導入される予定だ。CoPilotは高度なAI機能を備えている反面、動作には45~50 TOPsという高いAI対応のハードウェア性能が要求されるのだという。
このことから既存のノートPCはCoPilotの要求を満たすことが難しく、Windows 11のプレビュー版に使われているCoPilotでは、動作がもたついており、十分に活用できる状況ではないという(Gaz:Log)。
https://srad.jp/story/23/11/06/1327206/ あ,Meteorにおかしな事言ってる人の参考にしてるレビュー記事分かったわ。
https://www.notebookcheck.com/Intel-Meteor-Lake-in-der-Analyse-Core-Ultra-7-155H-ueberzeugt-nur-bei-der-GPU-Leistung.781895.0.html#toc-7
まあ私もNotebookcheckはよく参考にするから悪いとは思わんけど,ネガってる外部サイトがこのNotebookcheckだけを記事のソースとして持ってきてるあたり,
もう少し幅広く結果を収集した方がよかったんじゃないか。
どう見ても8XeがIris 96EUに負けてるのおかしくね?とか,これとかなり異なる結果 https://space.bilibili.com/49516020/dynamicみたいな方が多いのに,1
つのサイトだけの結果をすべての総評にするのはいかがなものかとHardwaretimesさん。
>>711
そのサイト(Gaz log)あんま信用しない方がいいよ。 >>708
お前みたいな奴が何も知らない奴が本当に腹が立つ
底辺ってのは、あたり見渡しても全員が底辺なんだよ
金持ちも大卒も何処にもいない世界
同級生は犯罪者か自殺者しか居ない世界
何がラサールだよカス
同級生にそんなのが居るのに底辺やってるお前は、親に感謝しろよ Intel 20Aの開発責任者Sanjayインタビュー
www.nikkei.com/article/DGXZQOUC135320T11C23A2000000/
Intel 4比 (=HPライブラリ) で90%の密度向上、5%の周波数向上。
12/14のイベントAI Everywhereでのゲルシンガーへのインタビュー。
www.barrons.com/articles/intel-nvidia-tsmc-china-ai-4dedaf79
Intel 18AとTSMC N2に関して問われ、
1. どちらのトランジスタ (GAAFET) の性能が上かは明白ではない
2. 裏側電源供給網 (BSPDN) ではIntelが同業他社を年単位でリードしている (総合すると18AがPPAで優位に立つ)
3. TSMCが提示するN2の価格は非常に高く、ここでも18Aは競争力を発揮できる
と回答した。 >新しいチップのNPUは大いに役立っているが、AMDのAIアクセラレーションを搭載したRyzen CPUがいくつかのテストで勝利した。
うーん… Core Ultra 7プロセッサーってHシリーズって言っても12世代、13世代的にはPシリーズ並なのかな?
https://pc.watch.impress.co.jp/docs/topic/special/1554380.html
この比較でもPシリーズとの比較になってるし、Pに毛の生えた程度の性能みたいだし
省電力性能の名のもとにH→Pにランクを下げたのか Ultra 5/7は6+8でPL1は28Wが基本 → 13x0Pの後継
Ultra 9だけが例外的にPL1=45W → 13xx0Hの後継
HXはRaptor LakeのままでCore iから変わらず。
要するにCore iからCore Ultraへブランドを移行するにあたって、U/P/HからU/Hに再編したという話になっているが。
Lunar Lakeなんか4+4だし、将来はもっと複雑なポートフィリオになるわけで、この2階層構造がいつまで続くのかは不明。 つまり13世代HX+独立GPUが最強ってことや
メテオは不要 Surface Laptop studio 2にはNPUもGPUも載ってるな https://www.techpowerup.com/316980/asus-bios-update-improves-intel-core-ultra-155h-meteor-lake-performance-watt
Biosを更新することで電力効率が12%改善
>>717
125H,135H,155HがPシリーズから外れたのはNPUも稼働させると15Wほど消費するからHのくくりにしたと公式動画で言ってた。結局この3つは
普通のLaptopに搭載されている限り瞬間的に45w以上になるけどすぐに28w稼働で推移する。
165H,185HはHシリーズの後継だと思う。185HはCPU 70w+GPU 30w+NPU 15wでちょうどMax115の
表記だと思うけどはたしてどんなソフトがCPU,GPU,NPUを同時に稼働させるかは疑問だが >>715
うーんっていいたいのもわからなくもないが、
AMDはモバイルはほんとやる気がないからIntelでええわ
自作はIntelでは組みたくないが、だからといってモバイルでAMDを買いたいとは思わん >>718
まあ、消費電力度外視ならそうじゃね
デスクトップ版でおなじことを書き込んでいたら同意するけど、ここノートPC板だぜ 低消費電力な領域ではRyzen 7 7840HSが優位だが、CPU package powerが25Wを超える程度でCore Ultra 155Hが上回るようになる。
https://uploader.purinka.work/src/26633.png TSMCの会長Mark Liuが退任、アリゾナファブの問題が原因と噂される
www.tomshardware.com/tech-industry/semiconductors/rumors-swirl-that-tsmc-chairman-mark-liu-was-forced-to-retire-over-arizona-fab-debacle
後任は現CEOのC.C. Weiと目されるが、WeiがCEOと会長を兼任するのか玉突きで退任に追いやられるのかは不明。
アリゾナファブはMark Liu肝煎りのプロジェクトであったが、現在でも隠然たる影響力を有する創業者のMorris Changはこれに反対しており、アリゾナの労働組合とのトラブルに発展したことでLiuの会長生命は途絶したと噂されているようだ。 興味深いのは噂の一つに「アリゾナファブに交付される補助金はTSMCが事前に期待していたものよりも少額」というものが含まれていること。
アリゾナファブの計画が失敗する可能性が高まったからLiuが退任するのか、Liuが退任するからアリゾナファブが失敗するのか。
過去には「補助金によって経営を統制しようとするアメリカ政府の動きを嫌ったTSMCが中国への投資を増やしている」というアナリストの報告もあり、国際情勢における緊張の高まりを背景とした業界再編の動きは複雑怪奇だ。 >>727
現行の7840HSと大差なくってガッカリ
あとは値段次第か Uがまだ出そうにないからRaptorLake買ってLunarLakeまで待つかなぁ 来年の3月から6月頃に出始めるだろうから、今すぐ必要ならラプターでいいんじゃない?
Arrow Lakeがモバイルに下りてくるかわからんけど、Raptor, Lunarの方が電力効率よさそうだし >>732
Raptorの方は効率いいわけないだろ RaptorはPはMeteorより一世代進んで、EはMeteorをおなじなんだっけか?
Pが一世代進むから多少はよくなってるんじゃねと願望を書いたけど、ならんかな?
LunarはEがMeteorより一世代進んで、Pは据え置きなんだっけか?
RaptorもLunarもPとEの噂はそこそこでてるけど、NPUとかiGPUの世代の話はあんまでてこないね 結局ファンレスMacでゲームしながサーマルスロットリングが最強 インテルスレがここまで有害で不快な空間になった理由って何なんだ??? モバイルがIntel最後の砦だからな負けるわけにはいかない >>736
AMDの間違いでしょうw
>>738
Meteor Lake -> Arrow Lake & Lunar Lakeあたりからモバイルもデスクトップも鯖も盛り返してくんじゃない
AMDってIntelをあともう一歩で息の根を止めるところまで追い込むんだけど、最後の最後にIntelが逆転処理するからな
Iteniumにかまけてx86 64bitをAMDに奪われて、デュアルコアもAMDがリード
でも、Core 2 Duoで形勢逆転した時みたいにな
クルザニッチ体制の時は悲観してたけど、ゲル体制になってから楽観的になってきた AMDスーパーコンピューティング、世界選手権で4連覇!最高のパフォーマンスと電力効率。
ファストテクノロジーのニュースでは、第62回世界スーパーコンピュータランキングTOP500が発表され、AMDは再び輝いている。
AMDプラットフォームは、500台のスパコンのうち140台を占めた。
昨年と比べると39%という大幅な伸び、その差は歴然である。
このような進歩は、ここ数年では珍しい。
AMD EPYC 7A53 64コアプロセッサ、トップスパコンの "フロンティア "で構成されるInstinct MI250X GPUアクセラレータは、リストのトップを走り続け、圧倒的な4年連続のタイトルを達成し、まだ完全に検証され、サブスパコンの数百億の実践に公共の唯一のセットです。
2022年6月、フロンティア・システムは浮動小数点演算の最大性能1102PFlops(110億2000万回/秒)で初めて世界一に輝き、前チャンピオンの日本の富岳を50%上回り、当時の2位から7位までの合計をも上回った。
当時、フロンティアのシステムは873万1112コアを搭載しており、後に869万9904コアに調整されたが、同期最適化後の最大性能は8%アップの1194PFlops(119億4000万回/秒)、ピーク性能は1679.8PFlops(167億9800万回/秒)だった。
フロンティアは、リストの2位、インテルCPU + GPUプラットフォーム "Aurora "と比較して、性能は完全に2倍以上リードし、後者の最大性能はわずか585.34PFlops(毎秒58億5,340万回)であり、100億回の計算のしきい値に触れるには程遠い。
実際、2位のAuroraと3位のEagleの演算性能は、フロンティアと比較すると、47.46PFlops(毎秒4億7460万回)であり、2位のAuroraの消費電力はフロンティアよりもまだ一段高い。
フロンティアは本当に "はるか先を行っている "と言える。
特筆すべきは、システムレベルの性能により注目するHPCGテストにおいて、フロンティアが14.05HPCG-TFopsというスコアで2位をキープしていることで、1位との差は非常に小さく、同時に3位の3倍に相当する。
実際には、HPCGリストの3位 "LUMI "ヨーロッパ初の、世界で3番目のスーパーコンピュータとして、AMDの第3世代EPYC 64コアプロセッサ、Instinct MI250Xアクセラレータの組み合わせを使用して、379.7 PFlops(毎秒37.97億回)の最大性能。
さらに珍しいのは、AMDプラットフォームのスーパーコンピューティングは、強力な性能を持ちながら、よりエネルギー効率が高いということだ。
同時に発表されたGreen500グリーン・スーパーコンピューティング・リストでは、TOP10のうち8セットがAMDプラットフォームをベースとしており、昨年より1セット増加した。
その中で、Frontier TDSテストシステムは2位にランクされ、ワット当たりの性能は62.684GFlops(毎秒626億8400万回)に達し、1位のHenriとの差はわずか4%である。また、フロンティアの完全なシステムは8位に入った。
高性能コンピューティング・パワーへの需要がますます高まっている今日、また、人類全体がグリーンで持続可能な発展を重要視している今日、エネルギー効率の重要性はますます顕著になっていると言える。
AMDはまた、2025年までにAI/HPCプラットフォームのエネルギー効率を2020年の30倍にするという野心的な目標「30x25」を掲げている。
AMDスーパーコンピューティング・システムの優れたエネルギー効率性能は、この目標を達成するための実践的な行動である。
ついに、CPU、GPU、HBM高帯域幅メモリを統合した世界初のシングルパッケージ・データセンター用APU、AMDインスティンクトMI300Aを使用した、AMDプラットフォームをベースとするもうひとつの数百億倍スパコン、エル・キャピタンの組み立てが始まった。
インスティンクトMI300Aは、CPU、GPU、HBM高帯域幅メモリを統合した世界初のシングルパッケージ・データセンターAPUであり、完成して正式にオンライン化されれば、倍精度浮動小数点演算性能で2EFlops以上、すなわち200億倍を実現する見込みである!
Instinct 300Aは現在、HPE Cray Supercomputing EX255aおよびEviden BullSequana XH3000 HPCプラットフォームに導入されています。 ニュース見てればわかるが今のAMDは革ジャン対抗に全力ですでに勝ったインテルは眼中無い IntelがHPEの幹部を引き抜き。DCAIのトップへ任命。
www.intel.com/content/www/us/en/newsroom/news/data-center-ai-news-01-2024.html
後継人事からDCAIに対するゲルシンガーの評価が見えると考え個人的に注目していたが、
「内部昇格に値する幹部は居ない。しかし降格人事の必要もない」ということのようだ。
Xeonの責任者であるLisa Spelmanも現在の地位の留まることから、Emerald Rapidsの評価は及第点以上であることがわかる。
なお前任のSandra Riveraは既報の通りスピンアウトするFPGA事業のCEOへ就任する。 ようわからんが、政治的に優秀な人間より技術的に優秀な人材を引き抜いてほしいわ >>741
> ニュース見てればわかるが
なんのニュースをみてればそうなるんだか core i7 1355Uはlinuxに対応してますか? ↓の「現行製品」って「Meteor Lake」なん?「Raptor Lake Refresh」じゃなくて? 本当に「Meteor Lake」なん?
Arrow Lakeは2024年後半登場で、デスクトップPCにも対応。低消費電力なLunar Lakeの実物も初公開
https://pc.watch.impress.co.jp/docs/news/event/1559618.html
> Lunar Lakeに関しては「Lunar Lakeは次世代のノートPC向けプロセッサとなる。
> 新しい低消費電力なアーキテクチャを採用しており、CPUのIPCが向上して電力効率が改善される。
> そしてGPUとNPUのAI性能が、どちらも現行製品と比較して3倍になる」と述べた
> Lunar LakeはMeteor Lakeと比較してCPUの電力効率が大きく改善し、
> さらにはGPUとNPUのAI推論性能(TOPSで表現される性能のことだと考えられる)がどちらも3倍になると明らかにした。 Core HX⇒Core i 第14世代⇒Raptor Lakeリフレッシュ
Core U(シリーズ1)⇒Raptor Lakeリフレッシュ
Core Ultra(シリーズ1)⇒Meteor Lake
Core U(シリーズ2)⇒Arrow Lake?
Core Ultra(シリーズ2)⇒Lunar Lake?
ってところ? meteor lakeからしかNPUつんでないし
それの現行は meteor lakeだな Lunar lakeの前世代にあたるのはMeteorlake-Uだろうしな RaptorLakeも一応NPU乗っかってるんだが Raptor Lakeに載っているわけじゃなくてオプションで、ってことでしょ
オンダイ(っていうか、タイルだけど)でNPUが載ってるのはMeteor Lakeが初っしょ >>754
Core Ultra(ハイエンド)、Core U(廉価版)って区別だと思ってるけど違うのかな
Arrow Lake, Lunar Lakeをどう区別するのかは気になるけどね
Core HXはデスクトップ、Core Ultraはモバイル、Core Uはデスクトップ廉価版とかになるのかな Core Ultra搭載PCの発表が少ないね
発売が増えるのは3月あたりから? 今すぐ購入できるIntel Core Ultra機は
・Acer Swift Go 14
・Dell Inspiron 13 5330
これだけかな? もう一台追加。
・MSI Prestige 16 Al Evo B1M(MSIストア限定、入荷待ち) ああ、Core Uって廉価版じゃなくて低電力って位置付けなのか
じゃ、アローはUltra, ルナーがUなるのかな
例年、発表ははやいけど受注開始は3カ月後とかそんなもん
むしり、デルが一部の機種をすでに出荷とか、メテオの発売ははやいほうだ 今出てるのってCore UltraのHシリーズだからCore UltraのUシリーズ乗せたノートが早く出ないかにゃーって言いたいの >>764は>>754の流れね。紛らわしくてすまんね
でも、Core Uって紛らわしいよな
UltraのUシリーズなのかCore Uなのか誤解が生じる >>765
UはUltra7もUltra5もPコア2個なんだよなぁ。 今までのゲーミング系ノート用のCore Hシリーズ→Core HX(内部的にはCore i 第14世代 Raptor Lake Refresh)
https://pc.watch.impress.co.jp/docs/news/1559244.html
今までの28W Pシリーズと15W Uシリーズ→Core Ultraシリーズ1(Meteor Lake)
https://pc.watch.impress.co.jp/docs/news/1554546.html
今までの15W Uシリーズの廉価版→Core Uシリーズ1(内部的には第13世代Core i 第13世代 Raptor Lakeと同じ)
https://pc.watch.impress.co.jp/docs/news/1559246.html
少なくともCore Uシリーズ1は"外れ"だと思う🤔 Core Ultraは28W Pシリーズと15W Uシリーズというけど、Meteor Lake世代ではHシリーズ(125W)も含まれてるからややこしいのよ
HシリーズはHXに入れればいいのにそうしないで、HXはラプターリフレッシュだけ
(ゲーミング用途なんだろうけど、だったらXe2のIntel ArcこそHX向けでは?と思うし)
Core UはN100と同じ流れを汲む300なんてものも含まれていてこれはこれでややこしい
Uが外れなのは激しく同意
廉価版のリネーム商法向けがCore Uって位置付けなら来年はラプターレイクリフレッシュになるから外れは継続だね
あたりになるのは再来年にでるであろうmeteor lakeまでまつしかない ようわからんのだがmeteor lakeが再来年って事は、Lunar Lakeは2027年あたりになるのかな Core UltraのSKU一覧見たら45WのCore Ultra 9 185Hがあったわ……ごめんちゃい。
"Meteor Lake"なCore Ultraは、Core iの45W Hシリーズと28W Pシリーズと15W U15シリーズと9W U9シリーズのそれぞれ後継が混在するんだ……H型番でもUltra 9だけ45WでUltra 7/5は28W……むずい……。
https://i.imgur.com/2zyxUty.jpg >>770
Meteor Lakeは昨年末にぎりぎりで出荷されて搭載モデルが今年中にでまわるよ
Lunar Lakeは今年の後半に出荷されて、搭載モデルが今年から来年中にでまわるよ lunarのBattlemageがmetorの性能比2倍とかリークでてきて
待ちたくなっちまうよ… 40年来で最大の革新っていうわりには、Meteor Lakeって、イマイチじゃね? Intelの40年前って1985年発表の80386じゃん……ってなるよな。 ターガーレイク出る半年前にアイスレイク買うくらいの愚行 >>778
2UラックマウントEPYCサーバにKVMコンソール載せただけ定期。 >>777
タイガーは熱かったし
タイガー発表された翌年の1月にアイスのSurface Pro7を買ったわ
ファンレスで満足
ルナーは消費電力が低いからLooxとかSurface Goが欲しいなら待てば
ルナーのモデルが買えるのは来年の3月以降だけどさ 最新世代のsurfaceとか金浪費するだけやもん
今でもAlderよりTiger拾ったほうがコスパはいい バッテリーの減り速いし熱くなりやすいしSurfaceよりタブレット買ったほうが良いぞ x86とArmを比較して鬼の首取ったようにインテルハーと連呼するバカ 何て情けない返事なんだ…
世の中にはIntelCPUを決して持ち出さずRyzenを常に引き合いに出しAppleのMシリーズと比武する戦士が居るというのに… 市販品ではないQualcommリファレンス機のベンチマーク結果を出されましても感。 結論
冒頭で述べたように、現在のインテルの最大の利点は、今日出荷され、すべてが動作することです。 Snapdragon X Elite に搭載されている Qualcomm の新しいカスタム Oryon シリコンは、ほぼすべてのベンチマーク テストで Intel Core Ultra を楽々と破っていますが、Apple の現在の MacBook Air に搭載されている M2 はちょうど真ん中に位置しています。
クアルコムは、今年 6 月頃に発売される予定の Snapdragon X Elite ラップトップをお待ちいただくことを望んでいます。あなたがどのチップを待っているにせよ、今年がコンピューターにとって重要な年になることは分かっていました。そして 2024 年が素晴らしいスタートを切ることは間違いありません。 intel終わった?
Surface Pro 10/Laptop 6がIntel CPU搭載モデル廃止!?
https://iphone-mania.jp/news-573618/
Microsoftは2024年6月にSurface Pro 10とSurface Laptop 6を発売するも、それにはIntelプロセッサは搭載されず、Snapdragon Xシリーズ搭載モデルのみになるとの予想が伝えられました。 >>794
それな
Surface Proでもドヤ顔で貼ってるぞ、そいつ Surface Pro Xってテンだよね
iPhone Xみたいに
まさかエックスだったの? Windows12が45~50TOPSの要件出すかもしれんからMeteorLakeじゃ足りないってのは分かる
でも廃止までするかな?無理矢理OCして要件満たした専用モデル出してくるかもしれんよ Intelの4Q23 (FY23) 決算
・Sierra Forestのサンプル最終バージョンが出荷済み
・Intel 18Aで製造されるClearwater Forestがtape-in、Panther Lakeは間もなく
・Sapphire Rapidsが通年で2.5M超の出荷数を記録
・12nm世代のプロセス開発でUMCと協業
・IFSの通年の売上が前年比103%成長し、$0.95Bに達する
・Mobileyeの通年の売上が$2Bを超える (マルチビリオンの事業に成長したということで大きなマイルストーン) Intelの会計年度って10月始まりなのかー。
(Oracleの6月開始という謎すぎる会計年度よりマシだけど) Intel良くないを市場が織り込んでないって方が問題だわ Intel良くないを市場が織り込んでないって方が問題だわ 株価が下がったって鬼の首を取ったように喜ぶAMDerwwwwwwwwww
>>805の言ってる意味もわからないんだろうな。かわいそうに coreウルトラで、株価爆上がりだと思ったけど
ダメか GPU強化といっても四年遅れでせいぜいM1 Mac程度だからそれ期待して株買えるような状況じゃない 法人向けでAMDにコテンパンにやられてるのにどうやって株価上がるんだよ インテルの株買うようなのは持ち株で配当もらい続けてるやつと
売買するやつはまともな知識あればalderの次はarrowがましそうなのはわかってるはず
今は終わったと売らせてから底近くで買ってarrowでインテル復活と煽って自分は売り逃げするようなのしかおらん >>807
主戦場は鯖だし
インテルがAMDやArm勢に辛うじて市場を守っているのはラップトップ分野でMeteor Lakeの投入は意味があるけどそれだけじゃね
とはいえ、株が上がった下がったでマウントとるAMDerはアホよ
株価なんて従業員の首切ったり、資産価値のある工場とやら土地を売って、それを株主に還元すりゃ株なんて上がるんだからw
似たようなことやって自社の株価を釣り上げて多額の報酬を受け取っていたけど、技術開発を怠けて競合他社に後れを取っている企業だってあるんだからな
クルザニッチ時代のIntel。てめーのことだ なんちゃらラピッドがEPYCに優位になる日が来るかはちょっと微妙だな
EコアベースのXeonの投入もそろそろ始まるだろうし多少はマシにはなるんだろうが、ニッチな分野でシェアも限られてるしなあ
モバイルのアーキテクチャと製造プロセスは順調に推移しそうだけど、モバイル以外のアーキテクチャと製造プロセスはいまだにごちゃごちゃしてる
これを何とかしないと、AMDにシェアを奪われる一方
Nova Lakeあたりまでかかるかもね
ま、AMDだって暗黒のブルドーザー時代があったわけで
Intelも乗り越えられるんじゃね 今回でさすがにビッグマウスゲルシンガーのクビがヤバそうやな IntelはいつになったらマトモなCPU出すんだ?
最近失敗作ばかりじゃん >>814
心配しなくてもインテルに限らずゴミばかりだから気にする必要なし
インテルが抜けてゴミなだけだ LGA1700でBartlett Lakeなんての出すらしいけど
もしかしたらi5の一部から下のラインナップはarrowlakeで出さないのかもね >>817
Bartlett LakeはRaptor Lake RefreshのRefreshという情報が出てるけど
Raptor Lakeは上位以外Alderコア流用だから
Bartlett Lakeは実質Alder Lake Refresh Refresh Refreshになってしまうのよな まあLakeシリーズ自体10nmの開発に難航したせいで初代のSkylakeからアーキの最終世代であるCometLakeまで延々使い回され続けたしな
Cometなんて実質SkylakeRefreshRefreshRefreshRefreshRefreshよ Intelのチックタック、14nmまで順調だったのに10nmで相当苦しんだのよな。数年後のIntel20Aや18Aとか眉唾。
https://i.imgur.com/eORmi1i.png インテルスレがここまで有害で不快な空間になった理由って何なんだ??? メテオレイクとはなんだったのか
名前だけはかっこいいのに cpu85度、lenovoなら発熱もっと抑えてほしい所 ASUS、「Core Ultra」搭載の14型有機ELノートPC「Zenbook 14 OLED UX3405MA」
https://news.kakaku.com/prdnews/cd=pc/ctcd=0020/id=138293/ 女の子が種付けプレスされてるエロ絵見てると
世界って本当汚いんだなと思うわ Z世代だけど素直に疑問なんだが、
なんで氷河期世代やゆとり世代のおっさん連中ってラーメンが好きなんだ?
塩分や糖分、脂肪分が高くて身体に悪いし
(疫学的には飲酒と同レベルに身体に悪い)
ラーミョンみたいにみんなで楽しく遊びながら食うってタイプの食べ物でもないし
テレビか何かの影響かなあって思ったら、ラーメンブームの元になった和歌山ラーメンが今ではあまり食べられてないことから関係なさそうだし
いったいなんでなんだ? >>842
別に普通に10代、20代でもラーメン屋いくだろ
でかい主語使うとアホだと思われるから止めた方が良いぞ Z世代だけど、いまだに氷河期世代が底辺な理由が奇怪。氷河期の後いくらでも景気が良い時期あったんだから転職すればよかっただけじゃん
天皇陛下の赤子のZ世代だけど
氷河期世代がいまだに底辺なのがなぞ
氷河期世代の後は福田麻生のリーマンショックとか不景気な時期もあったとはいえ
すばらしい民主党政権やアベノミクスとか景気良かった時期もあったんだからその時就職すればよかっただけじゃん
底辺生活気に入ってるの? ASCII.jp:Core UltraとGeForce RTXの組み合わせを計ってみた = 「AORUS 15 (2024)」実機レビュー
https://ascii.jp/limit/group/ida/elem/000/004/185/4185489/ 今M2macbookairで動画編集とDAWしてるんだけど、windowsノートでPremiereでの動画編集って普通にできますか?
グラボ搭載機ならスペックは全然問題ないと思うんだけど、macのトラックパッドに比べてタッチパッドってどうなんだろう?
母艦がwinだから出先もwinにそろえてファイル管理楽にしたい > Premiereでの動画編集って普通に
M2でも重くない?普通に使えてるの?
WinだったらdGPUが載せられるモデルを買うのがいいと思うな
Meteor LakeでIntel Arcのモデルがあるから、それだったらきびきび動くかもしらんけど
> macのトラックパッドに比べてタッチパッドってどうなんだろう?
まず、OSそのものがジェスチャーに対応してるのが少ないから物足りなさを感じるかもしれん
で、もう一つは、いろんなメーカーがいろんな部材を使ってるから、動きがぬるさくかとかはメーカーによる
こればかりは店頭で試したほうがいい
まー、Win10の時代と比べたら多少はましにはなってるけど、ジェスチャーとかタッチパッドはまだまだ林檎が上って印象 >>850
ありがとう FHDの動画編集は全然いけてる winノートでも同じくらいキビキビなら全然いいんだけど、スペック的には大丈夫なんだね
タッチパッドはさすがに各社によって違うよね…触ってみようとは思うけど、前持っていたASUSのzenbookduoが微妙だったんだよね
やっぱりsurfaceみたいな謹製PCとかがタッチパッドの動きはいいのかな? >>851
> スペック的には大丈夫なんだね
言葉足らずで誤解させてしまったけどスペック的に大丈夫かどうかはケースバイケース
ワークステーションモバイルっていうジャンルだとNVIDIAとかAMDのGPUを積んだモデルがあってそっちならよゆー
ただ、GPUを積んでいないCPUにGPUが載っているだけのモデルだと動画編集は厳しいかもしれない(FHDなら大丈夫だと思うけど)
今、出始めているMeteor Lakeに載ってるGPUは、Ice Lake, Tiger Lake, Alder Lake, Raptor Lakeに載っていたGPU(Iris Xe)の2倍って話
この2倍ってMeteor Lakeのリークでの話だから、今、世に出始めているものが本当に2倍なのかそれ未満なのかはちょっとわからん
FHDの動画編集ならMeteor LakeのiGPUでよゆーでこなせると思うけど、念のためm2のigpuとMeteor Lakeのigpuのベンチを比較した方が良いと思う
あと、Meteor Lake世代のiGPUはIntelさまが定めた条件を満たしているものとそうでないもので呼称が変わってくるから注意ね
Intelの次世代CPU「Core Ultra」プロセッサが正式発表。新アーキテクチャでゲーム性能やAI処理がさらに速く
https://www.4gamer.net/games/716/G071675/20231214033/
> Intelによると,ノートPC製品でIntel Arcブランドを使用するには,Hシリーズのプロセッサを採用するだけでなく,
>「デュアルチャネル接続で容量16GB以上のメインメモリを搭載」するという条件を満たす必要があるそうだ。
> この条件を満たさない場合,Hシリーズを搭載しても,GPUブランド名はIntel Graphicsになるとのこと。 >>851
> タッチパッドはさすがに各社によって違うよね…触ってみようとは思うけど、前持っていたASUSのzenbookduoが微妙だったんだよね
ASUSは障ったことないけど、lenovoのThinkPad X1 CarbonとSurface Pro 7のタイプカバーではまったく違うね
> やっぱりsurfaceみたいな謹製PCとかがタッチパッドの動きはいいのかな?
MacBook Pro Retina(初代)でXcodeを使ってガリガリコーディングしていた時代の人間だけど、
あの当時のタッチパッドと比べても、Surface Pro 7のタッチパッドの追従性は悪い(Pro 7もPro 7で古いけどw)
でも、Surface Pro 7のタッチパッドそのものの感度というか追従性はRetinaと一緒のような気もする
4本指のジェスチャーで仮想ウィンドウを切り替えるときとかにもっさりするんだけど、それは、ハード(タッチパッド)の問題っていうよりOSの問題かな
後は、内蔵のGPUがしょぼくてレンダリングが追いついてない感じ
淀で展示されているSurface Pro 9だと、ジェスチャーでの仮想ウィンドウの切り替えはそれなりにサクサク動く(それでもリンゴには劣る印象)
WindowsもMSで、SurfaceもMSだから、ハード周り(Windowsの顔認証しかり、ビデオチャットのためのカメラしかり、タッチパッドしかり)は相性がいい感じはしてる
でも、Surface Proスレでつい最近も書き込みがあるけど、あんまり負荷の高いタスクを回すとかくかくするって話題もでてる(で、それは本当の話)
まー、リンゴのエコシステムに絡み取られると、Windowsに移行するのはなかなか厳しいもんがあるね
自分は、leopard, snow leopardが好きな人間でいまのmacOSは嫌いだから、leopard, snow leopardっぽいWin10, win11が気に入って使ってる
(ジェスチャーには不満があるけど、使いづらいfinderとかメニューバーぶった切るノッチとか、それを考えるとトータルでwinの方が良い)
長文ですまんな >>853
とてもわかり易い説明ありがとう!
>lenovoのThinkPad X1 CarbonとSurface Pro 7のタイプカバーではまったく違うね
これはsurface>>>>lenovoの方がいいってことでいいのかな?
自分もmacのfinderの使いにくさやautohotkeyが使えないこと、ドライバが貧弱でDTMに弱いのでwinに全移行したいんだよね
でもタッチパッドだけがどうしても気になってね 派遣のおっさんと串カツを食いにいったら、ソースの箱を持ち上げて串カツに直接かけててドン引きした…常識ないの?
今日は朝から俺と購買部のお姉さん先輩(49)とババア先輩(33)と派遣のおっさんの3人で通天閣に行ってきたんだわ
通天閣とは関東の人にはわからない人もいるけど、大阪商工会議所の初代会頭の土井通夫に因んで建てられた電波塔だ
ビリケンさんとか触った後、せっかく大阪に来たから串カツでも手繰るかあって話になって串カツ屋さんに行った
そこは一本1-200円くらいの大衆串カツ屋さんだった
適当にイカとかカツとか頼んでみんなで赤星の633とか飲んだ
すると派遣のおっさんが、串カツのソースの箱を持ち上げて直接カツにソースをかけててドン引きした。。。
曰く串カツ屋は二度漬け禁止だから、こうやってソースを追加するんだよって自慢げに言ってて呆れた
お姉さん先輩やババア先輩もひいてたわ…
むっちゃ雰囲気悪くなった
結局、瓶ビール2本と清酒1杯飲んだあと
大阪駅で飲み直すかってなって派遣のおっさんを置いて帰ったわ 【7月7日】 飯田圭織と行く "大人の七夕祭り" 日帰りバスツアー開催 【費用2万円】
・ツアー前日に「元バンドメンバーと結婚&妊娠」のニュースが流れる。
・バスが発車してすぐ添乗員から飯田の結婚も報告され、ヲタは終始沈黙。
・向かう場所はキッコーマン発祥の地である千葉県野田市(キッコーマン工場だらけ)
・メインはバーベキュー用のソーセージと肉(肉は一人一枚。ソーセージは1人一本)
・8人テーブルに1.5リットルの烏龍茶一本(キッコーマン製)
・デザートはバナナ切り落とし(約7名のテーブルに付き3本分のバナナ切り落としが皿に盛られて用意された)。
(ヲタがバナナ一人一切れ(一本の半分)を2切れ食べてしまい係員に「人として失格」と叱られショボンとしてた)
・ビールは別売(一杯500円)
・お楽しみ企画は寂びれた遊園地に在りそうなジャンボ迷路。食後に行われた。
(食・飲酒後の急激な運動で方向感覚を失い迷路中で嘔吐するヲタが多数居た)。
(ヲタの人数が多すぎたため入り口から出口までヲタの行列が出来てしまい迷路の意味をなさなかった)
・時間が推していた為に、約半数のヲタをジャンボ迷路に放置したまま迷路出口で次のイベント開始。
・飯田とヲタで笹の葉サラサラを合唱
・ヲタ、飯田のトーク中に号泣(原因は不明)
・最後にバスが飯田の周りをぐるっと一周回って帰路につく
http://file.aruite5.blog.shinobi.jp/untitled.JPG >Armを搭載したSnapdragon X EliteノートPC、AIテストでIntel Core Ultraを最大10倍上回る性能を示す - クアルコムがIntelに早くもNPUを撃ち込む
CPUだけじゃなくNPUでも負けるのかよ・・・ CoreUltraのNPUがショボショボってのは最初から分かってたこと
問題なのはLunarLakeのNPU lunar Lakeもショボイだろ
来年のPanther Lakeの半分の性能しかないんだから NPUでウイルス検出時の負荷をオフロード。Intel、ビジネスPCをAI PCにする「Core Ultra vPro」発表 - PC Watch
https://pc.watch.impress.co.jp/docs/news/1571859.html 誰とは言わないけど昔いたガイジみておもったけど、なんで発達ガイジって他人に勝つことに異常に執着するの 知能が低いので、感情を抑えられず短絡的に生存本能が前面に出て来てるんだろう。負けたら死ぬと思ってるみたいよ。 このご時世だと性能競争でどうこうってより経済安保の観点でアメリカ政府が国内の先進技術メーカーを保護する気満々だからなぁ Core Ultra搭載のノートパソコンでメモリ増設可能なノートって出てる?
dellとかオンボのメモリしか選べなそう >>858
まあ、Armで互換問題が起きない環境ならOryonを使えばいいんじゃね thinkbookがあるのは分かるけど、メモリ増やせるのはlenovoくらいかな? >>866
最近各社のCore Ultra機を見て回っているけれど、
スロットメモリで自前換装可なのは、
Lenovo ThinkBook 14 Gen 7(Intel Core Ultra)が2メモリスロットあるぐらいかなぁ。
https://www.lenovo.com/jp/ja/p/laptops/thinkbook/thinkbook-series/lenovo-thinkbook-14-gen-7-(14-inch-intel)/len101b0046 >>873
New Inspiron 16、確認したらCore UltraではなくCore 7 150UやCore 5 120UのCore U シリーズ1だったわ。Raptor Lakeやん……。
https://www.itmedia.co.jp/pcuser/articles/2402/27/news160.html >>874
inspiron16は正確には発表前だから、
Core Ultra載せてくる可能性もある
前モデルはcore i7 1360pがあったから、
そうしないと、性能劣化になってしまうよね? CoreUltraと13世代CPUってそんなに変わるの? NPUのおかげで生成AIの処理は快適になったと聞くけど、LightroomやPhotoshopのAIノイズリダクションはどうなんだろう? >>876
普通のクラムシェル型としても使えるので案外良さそう。
総重量が1.65Kgなのと値段が高い(価格コム最安¥314,820円)のが難点と言えば難点か。 >>878
CPUは少しだけど
GPUは2倍位になる CPUは実際にはシングル性能の方がオールラウンドに重要なので
マルチ性能が1〜2割変わるくらいだと13世代の方が良い、まである
GPUは大差だしNPUも搭載されバッテリー持ちも良くなった
でもCPUだけは期待外れと言わざるを得ない >>883
なるほど
CoreUltraのi5とi5 13500Hで迷って13世代買っちゃったからどうだったのかなーって
まあ数年使ったら最新CPU買うか 今回はワッパの改善なんだろうな > CPU残念
>>884
まあ、いい買い物じゃない
ディスカウントで13世代は安く買えるし
TB5は今年から来年、NPUの性能に関しては、今年出るルナーはメテオの倍
さらに、来年投入予定のパンサーもルナー比でNPUの性能は倍、だったかな?
13世代を安く買う方が正解だと思う
自分も13世代狙ってるんだけど、もうちょっと割引率が高くなるのを待ってる
あと、リーベイツ 派遣のおっさんと串カツを食いにいったら、ソースの箱を持ち上げて串カツに直接かけててドン引きした…常識ないの?
今日は朝から俺と購買部のお姉さん先輩(49)とババア先輩(33)と派遣のおっさんの3人で通天閣に行ってきたんだわ
通天閣とは関東の人にはわからない人もいるけど、大阪商工会議所の初代会頭の土井通夫に因んで建てられた電波塔だ
ビリケンさんとか触った後、せっかく大阪に来たから串カツでも手繰るかあって話になって串カツ屋さんに行った
そこは一本1-200円くらいの大衆串カツ屋さんだった
適当にイカとかカツとか頼んでみんなで赤星の633とか飲んだ
すると派遣のおっさんが、串カツのソースの箱を持ち上げて直接カツにソースをかけててドン引きした。。。
曰く串カツ屋は二度漬け禁止だから、こうやってソースを追加するんだよって自慢げに言ってて呆れた
お姉さん先輩やババア先輩もひいてたわ…
むっちゃ雰囲気悪くなった
結局、瓶ビール2本と清酒1杯飲んだあと
大阪駅で飲み直すかってなって派遣のおっさんを置いて帰ったわ https://youtu.be/-XnsLPyhm5Q?si=MyfhmyEpRR19T-73
Steamdeck 15W vs MSI CLAW 2OW/3OW
それでは実機を用いたテストをご覧ください…… >>899
お前嘘付いてるだろ
なんかSteamdeckの方が優れてるみたいな事言ってるんだが TDP28wのMeteor Lakeが
TDP15wのしかもZen2に負けてるのか
まあLunar Lakeに期待 >>904
tubeの翻訳の見方すらわからん哀れなガイジ 廉価版のIntel Arc 7-Cores iGPUにすら負けてるゴミで草生えるw また今日も俺が勝ってしまったかw
敗 北 を 知 り た い w なお現実は
Intel第14世代Meteor Lake CPUは、高出力でも第13世代より遅い
https://www.hardwaretimes.com/intel-14th-gen-meteor-lake-cpus-are-slower-than-13th-gen-at-higher-power/
先月、インテルの第14世代Core Ultraラインアップは、Raptor Lakeと比べて「評価できるほどの」性能向上はないと報告され、OEMはマーケティングのためにAIに頼らざるを得なくなった。 ななななな内蔵APUでゲームwwwwwwwwwwwwwwwwwwwwwwwwwwwwwww まともな知能もってたらンビディアと抱き合わせで使うんだが?w >>902
> TDP28wのMeteor Lakeが
> TDP15wのしかもZen2に負けてるのか
> まあLunar Lakeに期待
さすがに草 職場のゆとり世代のおっさんが無能すぎる…プログラミングも英語も会計もできない…
昨年JTCに入社して経理で働いてるZ世代の増田だけど、
職場にリーマンショック世代の30半ばくらいのおっさんがいるんだが
マヂで無能すぎる…
英語もダメ、パソコンやプログラミングもダメ、会計も苦手、データ分析もできないetc.
前に飲み会の時に聞いたら、就活の時はTOEIC600点しか取れなかったって言ってて驚いたわ
じゃあ何ができるかって聞いたら「コミュ力」があるとかそんなことを言ってて呆れたわ
と言うか10年くらい前ってTOEIC600点でも評価されたってのが驚きだわ…今なら最低750点がマストだぞ
しかも10年前だから会計の国際的なコンバージェンスの進んでない時期だから、収益認識や棚卸資産とか最新の会計基準の知識に乏しい
正直ここ10年くらいで新卒や若手に求められるスキルセット、特に文系向けのスキルセットが変わって
従来の単純なコミュカだけから、PythonやRといった基礎的なプログラミングのスキルや統計解析といったデータサイエンスの知識がほぼ必須になってるんだわ
正直知識やスキルが古いしアップデートできてない
ゆとり世代のおっさんが、ソフト老害って呼ばれてるってニュースで見たけど確かに言われるのも納得だと思ってる >>924
IntelはSPECベンチチートが話題になってたが
Meteorの3DMARKはやり過ぎじゃないの? >>926
長文のお前も大概だよ
目くそ鼻くそ笑う、同じ穴の狢 https://twitter.com/9550pro/status/1771915330665038070?t=QWcG8BziaTVXhH0OYMlE9w&s=19
NPUの意義とは?
ジュピター・ノートブック・ベンチマーク
Intel Core Ultra 5 125H
MTL NPU:79.5 FPS 28W
4+8 CPU:67.8 FPS 35W
7 Xe-Cores GPU:204.9 FPS 34-38W
AI元年と嘯くIntelさん……
https://twitter.com/thejimwatkins 40代のおっさんだけど40のおばさんとやったらPTSD発症するわ >>927
Intel iGPUは歴史的に競合より3DMark性能は高く、実ゲームの実fpsは低いんだよ…w どんなに最先端なプロセスであってもいずれは時代遅れになるという啓示やな けど、Core Ultraの登場でAMD陰がうすくなったな。
zen5コアのノート出すまで、intelの独壇場か Snapdragon X Eliteは今年出るしAMDもStrixPointどころかHawkPointですら対抗できそうだしでそんなにIntel輝ける場面ってあるのかな 他社との比較はお互いに色々と贔屓目線入ってアレだけど
同じIntel内の前世代Core iにもCPU性能負けてるって話だしなー
AI対応ゴリ押しで凄い凄いってヨイショ紹介記事が出回ってるけど 本気で32bitを捨てたければAVXで無効オペコードとなっている整数命令を解放すればいい。
8/16/32データを扱うメインが無くなるのではなくアドレス管理が64bitモードのみになって整数でも32レジスタ3オペランドの命令が使えるようになりプリフィクスがなくなる。
これは本来Intelの作りたかった64bit命令をx64命令と整合性のある形で実装したものだ。
既存アプリはJITで変換しディスク上にキャッシングすれば自己書き換えを行う特殊なプログラム以外は問題なく動作する。 >>940
zen5のノート出しても供給に難があってインテルが独壇場なのはかわらんけどな GPU tileを競合他社が我先にとライン取り合ってるTSMCに委託してるけど、Intelにはたくさんチップを作れる素敵な魔法か何かがかかってるのかな? N200の後継のCPUってIntel 300の事でしょうか
優しく教えてください >>948
違う
N100とかN200はEコアだけのCPU
intel 300は逆にPコアだけのCPU
N200は小型PCでしかでてなくて拡張性に乏しい
アスロックがマザボ出してるけどIO周りが貧弱で魅力に欠ける
intel 300はLga1700だからマザボも好きなものを選べてIO周りも悪くないから拡張性もある
たとえが古いが、N200は昔あったAtomの後継(鯖向けではAtomは継続してるが)、intel 300はCeleronとか安価なCpuって位置づけ Intel U300ってのが N100にPコア一つだけ足したやつだっけ ゲームやAI目的でない人の7割は使えばこれで良いってなりそうだよね >>949
>>950
分かりやすいご説明ありがとうございます。
Copilotでも調べたのですが、14世代のデスクトップCPUが紹介
されるだけでした。
Intel U300を載せたノートとか期待します。
ありがとうございました。 チー牛っていうか容姿の悪い男性は人を好きになってはいけないことを自覚すべきだと思う
本人も辛いやろ正直
他人に干渉せず、意見を発信せず、清掃かなんかやって慎ましく孤独に生涯を終えるのがお互いにとって最良やとおもうねんな デスクトップだったらZenでいいとおもうけど、ラップトップはなんだかんだでIntelを指名買いだな
欲しいモデルにZenが載っていて、そんでもってリネーム商法じゃない最新世代のAPUが載ってるのを買える人が羨ましい 白いチーズだけじゃ弱いんだよな
チャリティーパーソナリティーやった時はヌーブラやらなんやらしてるからな ネイサン頭良いからこそできることだろう。
先物駄々下がりなのにNHKが大事 パスワードをお忘れですか?」ことパスワード再設定画面へのルールと言えば、アベガーになるな
やはり脅迫してなかったが、その後お咎めなしが決定したし。
圧巻❗キリン200頭大行進❗ >>49
ショーで四回転とか別にいらないんだけどD2くんの太鼓持ちやめてください 来年出るPanther LakeだとGTX780Ti相当の性能あるってマジ? StrixHaloがRX7600くらいの性能予測なのにGTX780Ti程度だとヤバくね… 来年Windows12出るからノートパソコンでゲーム起動出来るようになるのか ビックリしないで聞いてね。幼稚園からの幼馴染で、家族ぐるみでの付き合いもあって、お互いの家でよく遊んだりして、中学までは男女の友情だったのが、高校になると恋人になって、別々の大学に行ったけど遠距離恋愛も乗りこえて、もはや“運命共同体"とも言えるパートナーと結婚したら1週間後に
「ほら、頭こすり付けて土下座しろよ」とかほざくモラハラ男に豹変されました、って人も私は知ってるんだよ。それでもまだ
「モラハラは見抜けます」と断言できる人はいる?そんなに慢心してたら、次は君が喰われるかもしれないよ。 あのちゃんがユーチューブで
「 スーパーでバイトしてる時、缶コーヒー1本買ってイートインスペースに何時間もいるおっさん居て日本終わったと思った」
と話した
ファミレスとかいけねえの?貧乏人
てか平日の昼間から何してんだよ
恋人も友達もいねえんだろうな
つか、缶コーヒー1本でそこまで粘るって
どんだけ金も居場所もないんだよ
「ネットでイキってる奴らのリアルってこんな感じだったと思う」と突き放した
コメントでは
賛同の声が溢れた Panther Lake出たらノートパソコンで何でも不自由なく動くからデスクトップいらなくなるよな? それと、勝手な妄想で質問を妨害しないように!自己認識力が低い連中が集まって話が逸れるため 大企業IT管理職だとほぼフルリモートで8:30頃まで寝てて承認なんてあって無い様なもんだし作業は部下にさせるし外出もちょくちょくするし休みも好きな時に取るし定時になったらPC閉じるし週末は休みでほぼ固定で年収1300万円だよ
退職金も4000万円ぐらい出そうだし年金はほぼ満額だしiDeCoも積ニーも安定して積み上げてるし余ったお金で高配当株を買い上げてるし兼業デイトレは年確定5000万円をまずは目指そうってレベルだしフリーランスで不安定でいる意味がない
ちなみに上記は俺一人の話で更に嫁も同じような感じ
なお早婚だし子供ももう大高生で国立大行ってくれてるし貯めてたお金が余ってしまってる
すまん、書き連ねたけど全部事実 タイガーレイクとメテオレイクとホークポイントの最強対決
https://uploader.purinka.work/src/33107.jpg
なーんとメテオレイクの低TDP帯はタイガーレイクにも負けてしまうw 爆熱地獄をはるかに越えて
やけくそ飛び出すゲルシンガー
ゲルシンガー、ゲルシンガー、ゲルシンガー、3210プシャー
そら行けコアーウルトラ ノートを買うにあたって、coreultraの対抗馬ってAMDではどれになるの? あれだけ待ったメテオレイクがダメそうだな
また待ちか LunarLakeはNPUがスゴイからWin12もバッチリだ >>978
CoreUltraのUはNPUが付いてる以外はCore i13世代にも負けてるから
CPU+GPUの性能としては対抗馬はRyzenの7x30Uあたりじゃね?
そのNPUも今時点では使い道ほとんどないし今世代ではWin12の要求スペックに足りてない(これはAMDも同じ)
Hの方ならGPU性能大幅に上がってるから8x40HSか8x45HSが対抗馬かね >>984
そんなあなたにUltraじゃない方のCore
NPUなしの下位版って扱いだけどシングル性能はCore Ultra以上
コア数少ないからマルチ性能ではUltraのHには負けるけどUとは同等
ほんとCore UltraのUって・・・ 電力効率は上がったみたいだけど相変わらず爆熱なんだよな 通常用
ベンチ用
アイドル用
3種類のコアを実装したウルトラなCPU
それがCore Ultra アイスレイク時代はバッテリー45時間もったんだが?
馬鹿なのか? 熊本県警熊本南署は18日、小学生女児(10代)の顔面を殴ったとして熊本市中央区萩原町の無職男性(75)を暴行容疑で逮捕した。「注意はしたが、殴っていない」などと容疑を否認している。
逮捕容疑は16日午後6時55分ごろ、男性の近所の公園で、小学校高学年の女児の顔面を拳で殴ったとしている。
同署によると、当時は小学生の男女5人でサッカーをしていた。児童らは、ゴミに気づいた男性に「このゴミはあなたたちのゴミですか」と尋ねられ「違います」と答えたが、男性は「あなたたちのゴミでなくても、落ちていたら拾ってゴミ箱に捨てなさい」と指摘。児童らが「だったらあなたが拾って捨てればいいじゃないですか」と答えたところ、女児に殴りかかったという。 Arrowlakeの拡張が小幅でIPC伸びないという
話が本当だとしたらしばらく苦戦強いられるな プッチンプリンが出荷出来なくなったのは、メテオレイクがあまりにもだらしないせいだ ArrowLakeって、何nmになるの?
ググったら3nmと書かれていたんだけど
本当に3nmになるの? 「Intel 20A」「Intel 18A」とかいう謎の計測単位。 MeteorLakeを買うより
ArrowLakeを買った方がいいのかなぁ? インテルロードマップ
Meteor Lake →Xe-LPG (Alchemist) 128 EUs 2H 2023
Arrow Lake →Xe-LPG (Alchemist) 192 EUs 2H 2024
Lunar Lake →Xe2-LPG (Battlemage) 64 EUs 2H 2024
https://wccftech.com/intel-lunar-lake-v-cpus-8-cores-4-p-4-lp-e-8-xe2-gpu-cores-32-gb-lpddr5x-17-30w-tdp/ このスレッドは1000を超えました。
新しいスレッドを立ててください。
life time: 352日 1時間 32分 23秒 5ちゃんねるの運営はUPLIFT会員の皆さまに支えられています。
運営にご協力お願いいたします。
───────────────────
《UPLIFT会員の主な特典》
★ 5ちゃんねる専用ブラウザからの広告除去
★ 5ちゃんねるの過去ログを取得
★ 書き込み規制の緩和
───────────────────
会員登録には個人情報は一切必要ありません。
4 USD/mon. から匿名でご購入いただけます。
▼ UPLIFT会員登録はこちら ▼
https://uplift.5ch.net/
▼ UPLIFTログインはこちら ▼
https://uplift.5ch.net/login レス数が1000を超えています。これ以上書き込みはできません。