X



Intelの次世代技術について語ろう 91©2ch.net
■ このスレッドは過去ログ倉庫に格納されています
0488Socket774
垢版 |
2017/09/29(金) 21:23:04.52ID:ExfzpKab
            /    /,, __     `ヽ、
           /  ///      ..   ... ヽ
          / ,、i i  / /// /      'ヽ
         / i,,/ ``、ヽl i i  /  ノ ノ ノ /  ヽ
         /( i'   ..::::::``ii, , //ノノ/,; ',,,  ノノ   l
          iヽ/  ..::: :::     ) )    彡 彡'  |
         ;ミ!   :: ..: ::   /ノ    彡 _,, '  .ノ
        ;ミi(((ii,、 : : :::: /.      彡 _,, ' .ノ 青帝は退かぬ!媚びぬ!顧みぬ!
__,,, --------;ミlヽi_\((  O .:      彡  ノノ.ノ  
::::::::::::::::::::::::::::::`| ''\u`、ミi、' i、_,,,,, -'''))) ヽン / ノ 禅砕く天地開闢の力"Sapphire Rapids" 2020年降臨!
_________::::::::::::::::::|    ̄~/ ミ `、ーu-';_,;;;;''' ),ノ) ノ、
    |:::::::::::::::::| .......:: / '~ :::ヽ ̄~~~  /__ノー'---、__
  .  !:::::::::::::: l| .: , ./、  、 :.     ..:;;/、  `::    ヽ
     i:::/ ̄'i | ::.ヽ ヽ ー'''' :     .::;i~ :: ::. ::
:..    ヽ :  ,i |  .~''- ,,,,___    ,;/`::..   :::__,, ----=
:::::::...   ヽ : i ::| .:"'' ..,,,     /i`:::::: .::::::./
\::::::...   \| :::|   :::     ,, -''"::: \ :::::::/;
https://www.techpowerup.com/237404/intel-sapphire-rapids-micro-architecture-succeeds-tiger-lake
0489Socket774
垢版 |
2017/09/29(金) 22:44:09.48ID:ExfzpKab
2020年
新アーキCPU"Sapphire Rapids"(8コア超/7nmプロセス)
新ソケット"Tinsley"プラットフォーム
0490Socket774
垢版 |
2017/09/30(土) 02:50:34.21ID:FagsBuOc
>>487
たまにそれっぽいのは見る
0491Socket774
垢版 |
2017/09/30(土) 09:20:18.58ID:8wGFs9SB
12thとか書かれてるから、全くの新アーキじゃなくコアアーキの改良版ということかな
多分AVX1024積んでくるんじゃない?
0492Socket774
垢版 |
2017/09/30(土) 09:45:25.05ID:rDv6gXd8
>>491
“Sapphire Rapids”は大幅なマイクロアーキテクチャの変更が行われる世代になるという。そして8-coreあるいはそれ以上のCPUコアを有する。“Sapphire Rapids”とその対応チップセットで構成されるプラットフォームは“Tinsley”と呼ばれ、2020年頃の登場を見込む。
0493Socket774
垢版 |
2017/09/30(土) 10:32:49.94ID:akGWuoa7
今までみたいにサーバーからノートまで共通アーキとは限らない“Sapphire Rapids”はx86の完全互換を捨てた
サーバーに特化したアーキかも知れない
0494Socket774
垢版 |
2017/09/30(土) 10:41:39.08ID:PTYru0YJ
>>493
互換捨てるってきくと、またAMDに客が流れるんじゃ?ってイタニウムの時のトラウマがwww
0495Socket774
垢版 |
2017/09/30(土) 11:07:01.99ID:Ezun69b4
最適化=VEGA専用コードを書く
0496Socket774
垢版 |
2017/09/30(土) 11:08:48.86ID:akGWuoa7
たとえば、汎用CISCを捨てて汎用命令の必要な部分だげをVEXに移行させるとか
そうすればサーバーソフト会社はRISC向けX86向けの2バージョンを開発しなくて済むようになる
あとは個別チューニングをすれは良いだけになる。インテルもデコーダネックの頸木から解放される。
0497Socket774
垢版 |
2017/09/30(土) 11:15:05.99ID:snB8k3dh
Coffee Lakeが、もうすぐ発売されるとはいえ
Cannon Lake、Ice Lake、Tiger Lakeに続いて
2020年に、Sapphire Rapidsとか大丈夫なのかな?

これだけの短い期間で、これだけの製品が出たら
相当せわしない事になるだろうね
0498Socket774
垢版 |
2017/09/30(土) 11:30:33.41ID:rDv6gXd8
2017年 珈琲/Z370
2018年 珈琲(8コア追加)&キャノン/300シリーズ
2019年 氷湖/400シリーズ(新ソケット)
2020年 虎湖/500シリーズ?
----------Core世代終了---------------
2021年 Sapphire Rapids/Tinsley(新ソケット)
0499Socket774
垢版 |
2017/09/30(土) 11:51:06.48ID:CwFVla2C
7700K売ろうかな
0500Socket774
垢版 |
2017/09/30(土) 11:51:34.56ID:CwFVla2C
期待通りの性能ならね
0501Socket774
垢版 |
2017/09/30(土) 11:52:30.70ID:yR1nHunu
4700Kから8700Kでどれくらい性能が上がってるのかな
0503Socket774
垢版 |
2017/09/30(土) 12:14:44.11ID:LOZ1NI8j
>>501
たかが6コア、あんまり性能上がってない。
なんでスカイレイクXを買わないんだ?
8コア、10コア、12コア、14コア、16コア、18コアがあるでしょ。、
0504Socket774
垢版 |
2017/09/30(土) 12:31:39.59ID:9ue8ZH4u
こうだろ?

2017 Coffee Lake(14nm++)、Cannon Lake(10nm)
2018 Ice Lake(10nm+)
2019 Tiger Lake(10nm++)
2020 Sapphire Rapids(10nm+++)
0506Socket774
垢版 |
2017/09/30(土) 12:51:21.13ID:XUu7vwRB
>>504
珈琲8コアが2018年後半に追加、キャノンが2018年末に遅れるので、氷湖が2018年中に出る事は無くなった!!
0507Socket774
垢版 |
2017/09/30(土) 12:54:19.80ID:HOvpA5ZM
その件については>>410でIntelは否定しているからまだわかんない。
0508Socket774
垢版 |
2017/09/30(土) 12:54:30.54ID:XUu7vwRB
以降のインテル新CPUのリリースが一年ずつ遅れるは必然。
0509Socket774
垢版 |
2017/09/30(土) 13:17:39.76ID:akGWuoa7
Tiger LakeとSapphire Rapidsの製造は10nm++で2020年だと思うよ、
それとSapphire RapidsがTiger Lakeより先に製造が開始される
「10nm+、10nm++ではデーターセンター向けチップが最初に製造を開始される。」
これはインテルの上級幹部が口にした言葉だ、今では一番優先度が高いのがデーターセンター向けチップの製造だ
0510Socket774
垢版 |
2017/09/30(土) 13:18:37.99ID:Z83AJmWM
sky kabyでなんとかもたせて新アーキで乗り換えかなあ
0511Socket774
垢版 |
2017/09/30(土) 13:20:08.06ID:F64c5SnB
>>509
ほう?今までのインテルCPUとは逆パターンでXEONから新アーキテクチャに切り替えるのか!
0512Socket774
垢版 |
2017/09/30(土) 15:38:57.32ID:akGWuoa7
>>511
10nm++と7nmの間違いですた
ttps://www.fool.com/investing/2017/02/12/intel-corporation-plans-seismic-change-to-data-cen.aspx
0513Socket774
垢版 |
2017/09/30(土) 17:53:38.74ID:WjIgpMtp
>>496
それサーバーよか特定のスパコン向けだな
HPLエクサスケールとか狙うようなやつだろう
0514Socket774
垢版 |
2017/09/30(土) 18:11:53.91ID:eW2zEW6E
ちゅうかRISCの方がいいってのもなあ
何十億トランジスタとか使う現代でも当てはまるんかね?
昔以上にXeonばっかになったけど
0515Socket774
垢版 |
2017/09/30(土) 19:01:30.56ID:JFF3qfRD
ANLの2018年の予定が2021年になって
契約はそのままにプレエクサでなくエクサに変更
んでここにきて>>478の情報をリーク
まあ>>513てとこでだろな
0516Socket774
垢版 |
2017/09/30(土) 19:14:12.00ID:4Aaykais
新アーキはやはり通常のXeonとPhiが統合か。
PC向けもCoreとAtomの統合来るかもしれんな。
0517Socket774
垢版 |
2017/09/30(土) 21:06:42.69ID:9ue8ZH4u
今の経営方針を見てると営業サイド主導でコア数を無理矢理増やして肝心の性能が落ちそうな未来が見え隠れする
0518Socket774
垢版 |
2017/09/30(土) 22:07:22.99ID:IzwNtTiP
>>496
サーバーソフト屋ってアセンブラで組んでるわけじゃないっしょ。
CISCとかRISCとかが移植のしやすさに直接関わる部分じゃないと思うけど違うの?
出来上がってるシステムの移行ってなら、x86互換はユーザーにとって重要な部分になる可能性が。
そこ捨てたらAMDに流れちゃう危機再来だと思うなぁ。

デコーダーネックの解消は、ARMが大規模なCPUを提供するようになってしまってからでは、手遅れかと。
ソフトウェア揃え直す顧客は、そっちに流れそう。

>>513
ならわかる。
0519Socket774
垢版 |
2017/09/30(土) 23:21:15.16ID:ovn9Lkxd
intelほど惨めな負け組み斜陽巨大企業ってほかにあるのw?

コダックぐらいしか思いつかない件w
0520Socket774
垢版 |
2017/10/01(日) 00:26:56.15ID:o1sZ3yo1
今そときめく斜陽企業と言ったら東芝だろ
0521Socket774
垢版 |
2017/10/01(日) 01:12:51.04ID:NbdJ8S/R
Intelの一歩前を進んでいるIBMという企業もある。
0522Socket774
垢版 |
2017/10/01(日) 07:58:27.05ID:EfXkEIJs
PCの大半のCPUはIntelだし、サーバのほとんどのCPUはIntel、
売上・利益で見ると順調

万年赤字のAMDとIntelを比較してIntelが斜陽とか
0523Socket774
垢版 |
2017/10/01(日) 09:04:10.85ID:c10NdhZy
 ま こ 爆 ひ わ な     ン",,,、'"   ミミ`   匁  iii'''  iiiiiハ jt,        近 死 わ
 っ の 熱 と .た .ら   (ン` ":: ::''"  `ミ              -''、     い 期 た
 と 生 C .つ .し .ば   ヒメ  ヽ  r"""'''' """""'i       :::: ::  t"     ! ! は し
 う を. P .の も    / /    | i__,,,,,,,,,,,,,,,,,,ノ|  |_|, ii, ii,  :::::  `、,,、       の
 し   U       (  ソ | ti'| t、t i9 7900X |i|  iii|; ||~' -、 :: :: ヽ、ヽ
 た  と        )  |i i'|ii | ソ――-ー ''''''''t、t,,t:t ti-,gi |i :| ヽソ
 い .  し       ン,,   リ )リノ/、、,,,,,t  、、;;;;;;;;;;ニ=ー-、))ノ )    し
     て       (iii    ノ''z-モェテ''、'i ~i'';;rzニ'-''ニゝ'' フ"/y"  `'く
             リ  ::: ミi '~~~~::::ノ| ,i''''"'""''''''    :::メ, :::    ;; )
            `ソ ::: iii''t  ::::::::::::j,, " "     ::::::::ノ リノ ハ  `、,
             tii リ (/ );;; :::::::: 、、_,,,、:)、;;   :::::::::`'y  /  リ,,  j}リ
              )  (  リt" ::::: ,,,,;;'i、、;;"   "   (  i|}}! /'(  t、,
            /   ;;;; ソ;t   ii",;;ヨ<、:;;,,"'i!   、|i リ') i!}}i   ヽ;;,, ))
           ,/"   ノ/ ii|リ;;|!,, ii ´;;;;;;;;;;  ~'ji  ,,iijj}|ノ  亦,,, )ツ ツ (
           i|i|/|i  :::: 、|i|:::ヽ!!,iii "::(  ~'ー }} ii}|j、-''(ii   iiii ツ /   ''"、、,
0524Socket774
垢版 |
2017/10/01(日) 09:33:26.06ID:nPZdS61f
>>522
AMDと比較してるのはキミだけだろ?
0525Socket774
垢版 |
2017/10/01(日) 09:43:00.03ID:gOLVN2aE
今のintelはarm、ニューラルネットワーク、パフォーマンスcpuと多方面から競争しかけられてる状況でなかなか激しい戦いしてる。
0526Socket774
垢版 |
2017/10/01(日) 11:55:10.18ID:nPZdS61f
>>525
パフォーマンスCPU以外は後追い感がある。
CPU頼りからの脱却を狙ってのことだろうけど、どっちかというと競争仕掛けてる?
0527Socket774
垢版 |
2017/10/01(日) 14:12:52.45ID:0v99hDEu
ニューラルネットワークから競争を仕掛けられてるの???
0528Socket774
垢版 |
2017/10/01(日) 15:39:44.23ID:GlagBoW+
一つの会社で挑戦者の側と逆の側の両方の分野をやるのは
社内文化的に難しそうに思える。Intelはできそうだろうか
0529Socket774
垢版 |
2017/10/01(日) 15:40:21.13ID:gOLVN2aE
>>527
ニューラルネットワークを基礎としたシステム事業を他社と競い合っている。  なんでニューラルネットワークにだけ突っ込み入れたし
>>526
今までの半導体製品の卸売事業から事業を多様化させようとしているという意味では確かに仕掛けてるともいえるか。
前から言われてるがintelの今の主商品はハードそのものよりも膨大なソフト資産を強みにした包括的なシステムの提供だから、
それぞれの分野で多少競合が台頭しても斜陽かどうか論じるには足らん話だな。
0530Socket774
垢版 |
2017/10/01(日) 17:21:11.85ID:vhIx1iRO
Nehalemのロンチのときには既に
我々はソフトメーカーであり、Intel製品を使ったソフトウェア教育の方に重点を置いてるんですよ
そしてそれは今後ますます大事になっていくでしょう
て説明してたっけな
0531Socket774
垢版 |
2017/10/01(日) 22:09:31.00ID:DVqt8jvv
>>529
そうなんだよ
だからMSにエミュレータ付きOS出されると痛いのよ
0532Socket774
垢版 |
2017/10/01(日) 23:02:16.47ID:0v99hDEu
>>529
カテゴリーがそれだけ違うからだ
0533Socket774
垢版 |
2017/10/02(月) 08:28:41.38ID:SxAIJUKp
>>532
まあ、チップ作ってるだけじゃないということが言えれば何でもよかった。
0534Socket774
垢版 |
2017/10/02(月) 09:00:10.35ID:iguZEFz8
Intelって不器用な感じがどことなく日本企業っぽくて親近感が湧く
0535Socket774
垢版 |
2017/10/02(月) 16:46:44.44ID:nDLS7KnC
>>534
お前らと一緒にすんなカス
って言われると思うぜ
何一つ残ってないんだから
0536Socket774
垢版 |
2017/10/02(月) 18:59:02.13ID:ZsCygqo2
>>535
日本に対する過剰反応で韓国をノーマークにしていたツケが来ている所も日本企業っぽい
0537Socket774
垢版 |
2017/10/02(月) 21:04:46.05ID:j3IYPMTG
intelを育てたのは日本人だからなw
日本人がIntel 4004開発しなければ今のintelは無かった
0538Socket774
垢版 |
2017/10/02(月) 21:24:57.10ID:ZJlxf6lj
                 __
              / ̄   \
             |        ::|
              |     淫   ::::|
               |        ::::|
.              |.    厨  ::::::|
             |        ::::::::|
              |    之   :::::|
              |        :::::::|
               | .     墓゙ ::::::::|
.               |       ::::::::::|
              |  ∬      ∬:::|
               |  ii ,,≦≧、 :ii :::::|
            _ |  旦‖===‖旦::::::| _
  ---W-----┘二二二二二二二二二└--ff---\--
0539Socket774
垢版 |
2017/10/02(月) 22:45:36.39ID:vpcanYl5
Geminilake出たの?
0540Socket774
垢版 |
2017/10/03(火) 01:36:10.98ID:LEAmYfhz
>>536
じゃぁそのうちヤバ目の粉飾とか出て潰れるな
0541Socket774
垢版 |
2017/10/03(火) 01:45:24.76ID:IsdTKYVQ
>>540
お前どうしても日本企業を腐さないと死ぬ病気かなんかなの?
0542Socket774
垢版 |
2017/10/03(火) 02:02:04.03ID:saZb5Tl2
>>541
ここ最近のグダグダから言って、似てるとくればそういう連想になるでしょ
0543Socket774
垢版 |
2017/10/03(火) 02:41:16.55ID:ROsnV/Nl
一部と全体の区別のつかない人か
0544Socket774
垢版 |
2017/10/03(火) 02:43:55.30ID:LEAmYfhz
>>543
だけどそう言う印象を与える事は事実だろう?

まぁ独禁法も外れたようだし、ジョークの域だな
0545Socket774
垢版 |
2017/10/03(火) 02:46:44.71ID:ROsnV/Nl
犯罪のニュースばっかり見てると犯罪が増えたように錯覚するのと同じ
0546Socket774
垢版 |
2017/10/03(火) 04:06:38.04ID:CRvYOZMZ
無線充電はですね
0547Socket774
垢版 |
2017/10/03(火) 07:23:57.83ID:92asZMCX
            / ̄ ̄\
          /   _ノ  \
          |    ( ●)(●)
          |     (__人__)
             |     ` ⌒´ノ インテルCPUは環境に優しいグリス100%です!
              |         }
              ヽ        }
            ヽ、.,__ __ノ
   _, 、 -― ''"::l:::::::\ー-..,ノ,、.゙,i 、
  /;;;;;;::゙:':、::::::::::::|_:::;、>、_ l|||||゙!:゙、-、_
 丿;;;;;;;;;;;:::::i::::::::::::::/:::::::\゙'' ゙||i l\>::::゙'ー、
. i;;;;;;;;;;;;;;;;;;;;;;|::::::::::::::\::::::::::\ .||||i|::::ヽ::::::|:::!
/;;;;;;;;;;;;;;;;;;; ;![インテル ]\::::::ヽ|||||:::::/::::::::i:::|
;;;;;;;;;;;;;;;;;;;;;;;;;;|;;;;:::::::::::::::::::::::\:::::゙、|||:::/::::::::::|:::
LGA3647・・・グリス ready!
https://twitter.com/momomo_us/status/896530606321946625
LGA2066・・・グリス ready!
https://www.techpowerup.com/img/17-05-30/db752c76f7de.jpg
LGA1151・・・グリス already!
0549Socket774
垢版 |
2017/10/03(火) 17:52:34.22ID:aKJy4Q2N
reservedのところに電源グランドピンを割り振っただけでぶっ壊れるような変更はないのだろう
0553Socket774
垢版 |
2017/10/05(木) 18:11:56.63ID:Ue3qX4pp
AtomはAtomでいいのに
0554Socket774
垢版 |
2017/10/05(木) 21:22:22.69ID:0xnN8VFR
>>551
Myriad 2 VPUならDJI Sparkにすでに搭載されてる
0555Socket774
垢版 |
2017/10/07(土) 10:48:10.81ID:teRYx9sm
Intelの開発遅延が凄まじい。その原因である10nmが来年本当に立ち上がるのか実は確証はないw

計画 現実
2006 Core
2007 45nm
2008 Nehalem
2009 32nm ×
2010 Sandy Bridge 32nm
2011 22nm Sandy Bridge
2012 Haswell 22nm
2013 14nm Haswell
2014 Skylake 14nm
2015 10nm Skylake
2016 Sapphire Rapids ×
2017 7nm ×
2018 new arch 10nm
2019 5nm ×
2020 new arch ×
2021 3nm Sapphire Rapids
0556Socket774
垢版 |
2017/10/07(土) 11:08:15.63ID:l8Lof2am
他社はIntelの14nm相当である12nmを来年ようやく立ち上げるみたいだけど
Intelの遅延が凄まじいのならIntelより3年以上も遅れている他社はなんて表現したらいいのでしょうか
0557Socket774
垢版 |
2017/10/07(土) 11:35:36.53ID:s9DHE9Sj
来年に立ち上がる7nmも気にした方がいいよ
0558Socket774
垢版 |
2017/10/07(土) 12:20:45.35ID:ki7LHvYZ
気にする必要があるのはサムスンぐらいで他は全然Intelに追いつけない
0559Socket774
垢版 |
2017/10/07(土) 12:54:40.99ID:Ja7lBc2r
Samsungの10nmはIntelの14nmよりも集積度高いらしいし多少はね
TSMCとGloFoは7nmが順調に立ち上がればIntelの10nmと並ぶんじゃないの 順調ならだけど
0560Socket774
垢版 |
2017/10/07(土) 12:58:04.27ID:oqMXfQTC
ニコンが先端ステッパ事業から撤退したのは大きい
先端ステッパはASML1社独占、どこもASMLに左右される

キャノンのナノインプリンティングが立ち上がるかどうかはわからない
0561Socket774
垢版 |
2017/10/07(土) 13:05:26.05ID:oqMXfQTC
2018年に7nm生産っていうのは、ASMLのEUVステッパの出荷予定時期じゃないの?

ダイコスト・ビットコストが既存の14nmよりかなり高くなる7nmになって、
当分ビットコスト削減は無理じゃないのかな
0562Socket774
垢版 |
2017/10/07(土) 14:05:09.44ID:s9DHE9Sj
Samsung
8LPP
 - 非EUV
 - 10LPPの改良版
 - 2017年量産
7LPP
 - EUV
 - 2018年(前半?)量産

TSMC
N7
 - 非EUV
 - すでにリスク生産を開始してるはず
 - 2018年量産
N7+
 - EUV
 - 2019年量産

GF
7LP Gen1
 - 非EUV
 - 2018年前半リスク生産、後半量産(?)
 - EUV版と設計互換?
7LP Gen2
 - EUV
 - 2019年量産?
0563Socket774
垢版 |
2017/10/07(土) 14:58:09.73ID:PNddTj7i
今後一気に差が詰まるかもな。EUV待ちの間に研究が進んで、EUVが採算ラインで使えるようになればヨーイドンで量産が始まりそうだし
その次の世代も開発スパンが長くなって一世代も差がつかなくなるかもしれない。
0564Socket774
垢版 |
2017/10/07(土) 15:00:01.10ID:BJo2WAcA
>>562
そんな都合のいいロードマップを信じてる奴いるんだ
0566Socket774
垢版 |
2017/10/07(土) 15:26:47.48ID:s9DHE9Sj
>>564
信じないのは勝手だけど、来年のiPhoneは7nmチップと言われてるし、
他にも多数のテープアウトを受け付けたとTSMCは言ってる
TSMCの7nm量産は来年第1四半期という話

EUVに関してもついに光源出力が量産可能ラインまで到達した
ASMLは新規EUV露光装置や既存装置アップグレードのバックオーダーを多数抱えている

EUVが実現間近か、250Wの光源を達成 (1/2)
http://eetimes.jp/ee/articles/1707/20/news031.html
0567Socket774
垢版 |
2017/10/07(土) 22:31:11.40ID:pjXJf0hl
               _,..----、_
              / ,r ̄\!!;へ
             /〃/   、  , ;i
             i,__ i ‐=・ァj,ir=・゙)
             lk i.l  /',!゙i\ i  あえて言おう!インテルはカスであると!!
             ゙iヾ,.   ,..-ニ_ /
             Y ト、  ト-:=┘i
              l ! \__j'.l
              」-ゝr―‐==;十i      _,r--――、
             .ト、.j.!レ' ̄三! >ーr‐r‐r‐<  _,.r<"「 l_____
     ____,..r--r=ヾヽj,r―'"≦__ ̄ ̄r―'"\\ \r",.-、, \
    ∧   ト-'‐'"三へ>ト-‐'"~    ゙i  /       \\(_.人 ヽ._ ヽ
    レ'へ._ノi 「 \ ゙l //./",「 ̄/ / /       ヽ-ゝ. \   /
    レ'// .l l   ! ! i/./ ./  /  / /         ,(  \  ノハ
    レ'/  .! !   i ゙'!  ̄ ∠,  /  ヽ._        ,ター  '",〈 !
   /゙" ,r'" .l‐=ニ゙,「l ! 「 ̄!. /./   ー=='       .l.ト、. -‐'"/!.ト,
  /   .ト-  ゙ー―┘!└‐'='-‐"   ヽ._/   、     トミ、 ̄ ̄._ノノli\
0568Socket774
垢版 |
2017/10/08(日) 01:07:52.39ID:hG9tVWI+
>>562
10LPPの量産開始が2017年後半
8LPPは10LPPのオプティカルシュリンクでリスク生産が2017年後半予定
7LPPはEUVを用いて2018年後半にリスク生産予定

N7のリスク生産は4月から始めたってサイトに書いてる
0570Socket774
垢版 |
2017/10/08(日) 01:44:03.25ID:hG9tVWI+
Samsungが突如発表した11nm、8nm、6nm、5nm、4nm
これらの実効的な集積度は気になるところ

Samsungの10nmを用いたSnapdragon835は30億トランジスタで72.3mm2、
TSMCのAppleA11が43億トランジスタで87.66mm2で差がある

Samsungの14nmの縮小版の11nmが10%の縮小と発表されてることを考えると
8nmは10nmの光学シュリンクでしかないのでマーケティングネームとは裏腹に
密度でTSMCの10nmを上回っているのかすら怪しい
0573Socket774
垢版 |
2017/10/08(日) 02:23:53.11ID:hG9tVWI+
時間の問題というかintelは10nmを早く出さないと置いていかれる、っていう形
0574Socket774
垢版 |
2017/10/08(日) 18:12:09.28ID:cst1HiuN
>>566
いつも言うだけ番長だからなTSMCは
0575Socket774
垢版 |
2017/10/08(日) 18:13:26.49ID:cst1HiuN
>>572
それ他社の5nmはIntelの7nm相当でしかないって意味なんだけどw
0576Socket774
垢版 |
2017/10/08(日) 18:33:41.32ID:vOrHkqAj
TSMCはまだましなほうでしょ?
本当に言うだけ番長はGFのほう
0577Socket774
垢版 |
2017/10/08(日) 19:10:16.99ID:171Fjngw
で、Intelの10nmは何年遅れてるんでしたっけ?
0578Socket774
垢版 |
2017/10/08(日) 20:20:17.64ID:9hxP0Wm1
プロセス移行とトライゲート導入が同時だったから性能が大きく伸びただけで
微細化だけしても性能があまり上がらないのに?nmの数字だけ見て遅れてるって言ってないか
0579Socket774
垢版 |
2017/10/08(日) 20:30:26.18ID:jrXbhNsB
     2016  2017  2018

当初 Cannonlake

旧プラン   Cannon Lake
       (モバイルのみ)

現在         Cannon Lake-Y
           (5.2W版のみ)
0580Socket774
垢版 |
2017/10/08(日) 21:04:15.47ID:uxeOB7BE
サムスン
 07nm LPP 2018H2? EUV
 06nm LPP 2019?
 05nm LPP 2019?
 04nm LPP 2020? MBCFET(Gate All Around)

 18nm FDS 2019? FD-SOI
ttp://news.mynavi.jp/articles/2017/09/29/samsung_7nm/index.html

GFの提携はずっと続くのだろうか
0581Socket774
垢版 |
2017/10/08(日) 23:37:57.50ID:171Fjngw
14nmFinFETが難産だったIntel
「14nmでは苦しんだが、10nmは14nmで培った技術を用いて問題なく移行できる見込みである。
また、他社も微細化を進める過程で我々が直面した問題で苦しむことになるだろう。」

結果、10nmも難産続きのIntelと、それとは対照的に、FinFETの導入こそ遅れをとったものの、小刻みな改良、微細化でIntelをキャッチアップ、ついには追い越す勢いのファウンドリ各社

どうしてこうなった
0582Socket774
垢版 |
2017/10/09(月) 00:30:02.79ID:6HJnZ10G
走ってIntelを追いかけることが出来なくて匍匐前進で小刻みにしか進めなくなったってだけの話
それをどう曲解すれば「追い越す勢いのファウンドリ各社」とドヤ顔出来るのか理解不能
0583Socket774
垢版 |
2017/10/09(月) 01:10:07.30ID:f5jN8ei+
>>580
Samsungの発表はあくまでproductionで
7LPPもinitial productionと書いてるので最初のリスク生産のことだよ
https://news.samsung.com/global/samsung-strengthens-advanced-foundry-portfolio-with-new-11nm-lpp-and-7nm-lpp-with-euv-technology

リスク生産から量産までの期間は
10LPEが16Q1リスク生産→Q4量産
絶縁材料を変えた10LPPが16Q4リスク生産→17Q4量産予定
http://n.mynv.jp/articles/2016/11/24/techcon2016_samsung/images/006l.jpg

と、およそ9ヶ月程度は掛かるので

8LPPが17Q4リスク生産予定→18Q3〜Q4量産
7LPPが18H2リスク生産予定→19中〜後半量産

ということ
0584Socket774
垢版 |
2017/10/09(月) 01:40:00.12ID:W65w/JT/
>>582
Intelの10nmよりファウンドリの7nmの方が小さいじゃん
0585Socket774
垢版 |
2017/10/09(月) 01:50:28.45ID:JcxA33fX
少なくともCannon Lakeが発売されるまではファウンドリ各社の10nmのほうが進んでるのでは?

で、そのCannon LakeがYプロセッサというプレミアラインのみに限定出荷する来年秋頃にファウンドリは7nmでA12やSnapdragon 845を量産する計画

ちなみにIntelは未だ10nm製品の出荷に見通しが立たず、7nmへのロードマップが示せてない。対するファウンドリは7nmのリスク生産に入っていて、4nmまでロードマップを掲げられている
0586Socket774
垢版 |
2017/10/09(月) 01:53:46.28ID:6HJnZ10G
>>584
そりゃファウンドリの7nmがIntelの10nmよりデカかったら明確な詐欺ですわなw
もっともIntelの7nmに対して他社の5nmは一体何なの?って言いたくなるけどw
0587Socket774
垢版 |
2017/10/09(月) 02:03:41.19ID:W65w/JT/
>>586
やっぱ追い越されてるじゃんw
Intelの7nmなんていつ出てくるかもわからないし
■ このスレッドは過去ログ倉庫に格納されています

ニューススポーツなんでも実況