X



【半導体】TSMCの3nmファブが竣工か? 2022年より本格稼働を計画、台湾メディア報道 [HAIKI★]
■ このスレッドは過去ログ倉庫に格納されています
0001HAIKI ★
垢版 |
2020/12/06(日) 12:57:23.76ID:CAP_USER
TSMCが台湾台南市の南部科学工業園区(STSP)にて3nmプロセス対応ファブの建屋完成を祝う式典を11月末に開催したと複数の台湾メディアが伝えている。

同ファブでは、2022年より3nmプロセスを用いた商業生産が開始される予定で、2022年末までに月産5万5000枚、2023年には月産10万枚の生産計画を立てている。
加えてTSMCは、2nmプロセス対応ファブを本社近くの新竹科学工業園区に建設することも決めており…

続きはソース元で
https://news.mynavi.jp/article/20201202-1550583/
0003名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:03:01.12ID:sCtCyVE3
世界の覇者 TSMC
日本文化を我がものとした台湾人が世界最強
日本は日本文化をシカトしている日本人が激増して衰退した
0007名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:07:19.19ID:AUQYMqG1
落ち目日本は蚊帳の外
0008名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:09:23.08ID:T5q4Fy45
俺が最初に買ったCPUはPentium3のCoppermine(カッパーマイン)
0.18マイクロメートルで製造

で、この工場の半導体が3ナノメートルか

1マイクロメートルが1000ナノメートルだから1/60くらい?
0009名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:10:30.56ID:K1tm6jkC
日本企業が蚊帳の外と思うのは早計
EUVの検査装置や部材や薬剤などで
見えなところに日本企業は隠れている
0010名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:11:59.80ID:Uo+iIbPm
ASMLすげーな
0011名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:13:36.14ID:k1g0TgGa
日本は3nm作れないの?
0013名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:15:32.79ID:1GwRhjcq
>>11
日本はつか台湾のTSMC以外手がけてない
サムソンもまだだしインテルはその前段階で足踏み
0015名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:17:08.71ID:RuHJpS0a
>>1
3nmとか想像しただけでカウパー出そう
0016名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:18:10.76ID:TqKO7nJh
早めに台湾へ制裁を加える
0020名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:23:42.00ID:H2ALqRqu
台湾というのは頼もしいけど、細密プロセス一強というのはいろいろリスク。
0022名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:27:27.48ID:K1tm6jkC
>>21
現状はオランダの一社のみ
ニコンもキャノンもできてない
0023名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:27:28.40ID:AUQYMqG1
>>21
日本の方が人件費安いし土地も安いからその負け惜しみネタって全く成り立ってないなんだよね
0025名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:30:03.12ID:Cu0AZyxh
ビジネスでPayできないと意味がない
0027名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:32:15.49ID:qn60Oe00
レーザーテックが逃げ切れるか
0028名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:33:06.56ID:hv6D8FQH
ていうか発熱が怖くて今は回路トランジスタの10%くらいしか使ってないんじゃ高密度パッケージなんて無意味だろ
0029名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:34:27.01ID:9ioCNRkA
株を買おうかな
0031名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:37:19.12ID:AUQYMqG1
カネにならない下請け仕事は日本みたいな老人国家に任せたらいい
0032名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:37:44.65ID:Pr5y/v81
そして断線や短絡のトラブルも増えるんだろ
0035名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:40:06.71ID:X9wUSx3G
>>11
作るだけなら作れるけど、
産業的に合理的なコストでは作れない。
0036名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:41:36.73ID:2aEdSco4
もう少しで組み立てるだけのスマホ屋さんが儲からない時代が来そうだったのにトランプが余計なことしたからな。
0037名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:42:03.80ID:EcI/M6n+
>>4 >>5
プレステ2の頃は、0.13μmとか言ってたなw
0038名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:42:33.75ID:kFsoCD28
日本は地震がおおいので半導体の製造にはてきしていません
0039名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:43:03.04ID:K1tm6jkC
>>30
露光装置なんだよ
ここがキモで製造工程の始まり
0040名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:43:33.92ID:oOIKj5B4
日本企業は利益のでない下請け、利益は全て台湾とASML
0041名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:43:44.45ID:AUQYMqG1
フッ化水素輸出を制限すれば韓国経済は即座に崩壊だ、などという設定も全く成り立ってなかった事がハッキリ分かったもんね
0042名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:43:55.34ID:Gq75b2Ci
>>32
16コアのうち4つでも生きてれば売り物にはなるw

全然関係ないけど最近Intelが第10世代Core i5(6コア)を猛プッシュしてて草生える
0043名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:45:09.35ID:oOIKj5B4
Intelは11世代が有望、それ以前はカス
0044名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:46:36.99ID:X9wUSx3G
>>41
そうそう、韓国人、報復だとか
日本製品不買だとか、騒ぎ過ぎ、餅つけ。
0045名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:47:03.66ID:zSjzINcg
しゅごい…
ASML株手が出ない…
0047名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:54:20.33ID:acQSqA53
>>11
商的な回収が困難だから手を出してない感じ
マイクロンメモリの広島工場とか、テコ入れで拡張工事やってた
(元エルピーダメモリへの外資投入)
0048名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:57:07.54ID:FHob19Z2
やっぱりAppleが組んだのが良かったな

確か5nm の時にウェハを数万捨てても
実用化させたらしいからIntelやサムスンは
辛いだろ
0049名刺は切らしておりまして
垢版 |
2020/12/06(日) 13:58:51.62ID:aswf+WMG
すげーな、どの辺まで見込みあるの?
歩留まりとの勝負なんだろうけど
0050名刺は切らしておりまして
垢版 |
2020/12/06(日) 14:00:19.20ID:aswf+WMG
>>30
日本勢もなかなか検討してるよね、装置メーカーの方は
0052名刺は切らしておりまして
垢版 |
2020/12/06(日) 14:04:23.84ID:bAfoTwfF
>>50
技術がパクられにくい分野なのと利益がそれほどでもないから
パクる方もそれほど力を入れないってだけだね。
0055名刺は切らしておりまして
垢版 |
2020/12/06(日) 14:37:59.21ID:4zWsXSe5
>>8
単純に計算するとそうだけど、素子数的には最大3600倍になるんでない?
0056名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:07:19.30ID:pTk9SEsq
単に巨額の投資を継続的に行えるほどの体力持っている会社が日本にはない
0061名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:33:16.52ID:a8PiJyyS
網このクラスになると、性能の悪いニコンやキャノンの露光装置は完全にゼロ。
市場から駆逐されるな。
 
0062名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:34:09.87ID:chn/Kj3n
不況不況言われてるけど
パソコンめちゃめちゃ売れてるよね今年(´・ω・`)
0063名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:42:11.08ID:a8PiJyyS
こういう工場では人間はゴミ巻き散らかす汚染源だから、完全無人化。
ロボットが24時間365日作ってる。
日本で作らんのは人件費の問題でなく、電気代の高さ。
電力会社のボッタクリ、価格競争なし。
土地は、日本の田舎ならタダみたいなもん。
無人化工場だから田舎の過疎、人手不足も関係ない。
日本に工場できない理由は、世界的に見て電気代が異常に高すぎるせい。
 
0064名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:48:01.74ID:a8PiJyyS
Fランのスガが国策でやるべきことはスマホの値段を下げることでなく、日本の電気料金を下げること。

高コスト体質の電力会社のスリム化、エネルギー政策の見直し、地域に独占させてる電力会社の縄張り制の撤廃。
0065名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:49:01.31ID:5b6teyGa
日本でも作れるが、買ったほうがはるかに安くつく!

問題は買ってもらいたい製品(ハード・ソフト)の開発だ!
0066名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:50:45.25ID:sgdi7sl7
>>41
甘い。

韓国の半導体なんて、しょせんその程度のレベルでしょ。
制裁も今は、緩和されてるんじゃないの?
0067名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:52:31.19ID:pSS7jdjb
もう,人件費とか電気代とかそういうコスト要因にしがみつくのは止めるべき。
人材がいないんだよ。
技術開発力がない。

まずは,そこを認めることから始めないと。

世界で技術開発やIT,AIをけん引しているのは中国人,インド人だろ。
中国やインドの教育は日本の教育が目指した北欧型wとか個性重視wとか
ではないし,ゆとり,仲良し教育でもない。ましてや学術会議会員がお偉
いさんやっている大学での教育法でもない。そこから見直さないとな。
0068名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:53:50.28ID:uA1zXQuz
>>4
振ると壊れる
0069名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:56:12.49ID:uA1zXQuz
>>30
こんだけ基幹技術を押さえていてなんで日本がファブやれないのか考えるべき
ホルホルしてる場合じゃないぞ
0070名刺は切らしておりまして
垢版 |
2020/12/06(日) 15:56:33.07ID:4sc51f0D
インテルだけが作れない技術
0071名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:00:08.13ID:sgdi7sl7
>>69
ファブをやるメリットはあるの?
請負なんじゃないの。

ソニーのセンサーは独自技術だから、利益も巨額だけど。
0074名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:07:55.25ID:mqZwykrR
>>3
釣りなんだろうけど。

製造装置作ってるのは、オランダと日本。
製造装置も自前で造れてから言ってくれ。
0076名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:09:10.33ID:mqZwykrR
>>69
利益取れないから。
チョンと台湾に薄利多売やらせておけば良い。

せめて、回路図の設計出来れば。
0077名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:10:03.23ID:mqZwykrR
>>65
製造装置送って、作らせた方が儲かる。
0078名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:10:43.68ID:mqZwykrR
>>65
SONYがプレステ、Xperiaと坂、鬼滅で頑張ってる。
0079名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:13:00.45ID:mqZwykrR
>>71
だから、日本は製造装置に特化した。
そうなったら、チョンとか台湾に作らせた方が儲かる。

でも、いい加減日本でも半導体作らんと。
TSMCに工場作って貰うとか。
0080名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:17:26.27ID:sgdi7sl7
>>79
日本にも、半導体の工場はたくさんあるべ。

九州は、有名でしょ。
0081名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:23:47.77ID:98tM9Bb8
製造装置が完成品より儲かるとか、まだインチキコンサルタントのデマ信じてるのいるの?
それだったら先進国はどこもそうしてるよ
0082名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:27:33.18ID:exNOVPWy
次世代はピコですね?
0086名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:35:20.81ID:sgdi7sl7
>>83
設計は、日本や欧米のメーカが強いんじゃね?

経済紙とかには、しょっちゅう書いてあるわ。
0088名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:44:10.41ID:B/UqEaON
>>81
本来儲かるのに適正価格で売れてないんだろ
あとメンテ代とかも安いんだろう
自分とこより安くできる格下メーカの価格に合わせて価格下げるなら誰でも売れるからな

営業能力がないわけ
欧米は自分とこの品質が高いのわかってりゃ2倍3倍とかで売るし
0089名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:44:44.29ID:DixaVnxH
>>85
日本が設計強いなんて大昔の話
0090名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:49:38.75ID:L8W4ujKL
 





>>9
Intel の製造装置が、日本の三菱。

台湾TSMC 製造装置は、オランダ製。





 
0092名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:50:43.57ID:L8W4ujKL
 





>>86
Intel の製造装置が、日本の三菱。

台湾TSMC 製造装置は、オランダ製。





 
0093名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:51:15.14ID:L8W4ujKL
 





>>76
Intel の製造装置が、日本の三菱。

台湾TSMC 製造装置は、オランダ製。





 
0095名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:51:50.61ID:L8W4ujKL
 





>>74
Intel の製造装置が、日本の三菱。

台湾TSMC 製造装置は、オランダ製。





 
0096名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:53:10.07ID:L8W4ujKL
 





>>70
Intel の製造装置が、もともと最初から日本の三菱製だった。

台湾TSMC 製造装置は、オランダ製だ。





 
0097名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:53:44.61ID:hFxDzzkK
もうゲート絶縁膜が一原子層より薄くなるんじゃないか?
0098名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:54:19.51ID:L8W4ujKL
 





>>53
Intel の製造装置が、もともと最初から日本の三菱製だった。

台湾TSMC 製造装置は、オランダ製だ。





 
0099名刺は切らしておりまして
垢版 |
2020/12/06(日) 16:56:12.70ID:L8W4ujKL
 





>>51 土人!

だから、台湾TSMC の微細化で、
さらにマルチコア に出来るというわけだ!!!!





 
0100名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:01:24.58ID:L8W4ujKL
 





ムーアの法則 の限界をかってに言ってたのは、
日本だけだったようだね。

日本人は、おっさんアタマ





 
0103名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:24:28.83ID:L5MOJ1lf
半導体関連スレに出没する「日本スゴイ」厨の嘘

・日本は人件費が高いからコストが割に合わない
 →韓国の平均賃金は日本より上だが、サムスンもハイニックスも利益を出している。

・製造装置は日本
 →最もキモになる露光装置は最新技術のはオランダASMLがほぼ独占。日本は古い技術ので細々とやってるだけ。

・その気になれば日本もすぐ作れる。
 →インテルでさえ7nmどころか10nmでもコケたのに、やる気も金も技術もノウハウも無い日本企業が作れるわけが無い。

・完成品よりも製造装置の方が儲かるからやらないだけ。
 →ここ数年、完成品メーカーであるインテル、サムスンやファブ専業のTSMC等は巨大な利益を上げているが、
  製造装置メーカーのニコンやキヤノンが製造装置で巨大な利益を上げているとは聞いた事が無い。
0105名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:35:22.41ID:n+eMtjiz
>>63

原発が使えないので電気代が高くなる。
原発が多い中国とかの方が有利だろうな。
0106名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:36:20.20ID:MVI/8eSY
中国科技大、光量子コンピュータで「量子超越性」を実証 スパコン富岳で6億年かかる計算を200秒で
https://www.itmedia.co.jp/news/articles/2012/04/news146.html

中国科技大の研究チームが、従来のスパコンより
量子コンピュータの計算能力の方が上回ることを示す
「量子超越性」を光量子コンピュータで実証した。
0108名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:42:43.89ID:6vkRWeuo
微細化も先が見えてるだろ?

小さなスペースにゲートを出来るだけ多く詰め込むには
積層構造つまり高層ビルのような方向しか残って無いワナ。

これはこれで熱が逃げ難くなり冷却必要だわな。
熱を発生しない光子を使うしかね。
0109名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:42:58.57ID:WNXJuUv3
>>19
100年先はシリコン半導体なんてやってないだろ
0110名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:47:28.05ID:kNahwfL7
8080のバス配線を顕微鏡で数えてた頃が懐かすい
0111名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:47:55.77ID:LAZlOLnF
1980年代
日本「日本の製品は世界一!」

1990年代
日本「同じ値段なら品質は日本が世界一!」

2000年代
日本「小型化技術や安全性能なら日本が世界一!」

2010年代前半
日本「製品に使われている部品は日本のものが多い!」

2010年代後半
日本「あの製品やあの技術は日本が発祥!」

2020年代
日本「日本には四季があり水道水がたくさん飲める!
0112名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:49:41.41ID:WNXJuUv3
>>56
銀行と株の制度を抜本的に変えないと巨額資本が必要な分野は育たないよね。
0114名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:55:46.98ID:6vkRWeuo
安い人件費の中国や韓国あたりの企業家が技術をパクり
日本やアメリカの金融筋から巨額資金を高金利で借りて
勝負に出たから、日本の製造業は落ちて行った。
0116名刺は切らしておりまして
垢版 |
2020/12/06(日) 17:58:59.28ID:L0Zcjbgm
兄の国として誇らしいぞ
0118名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:03:04.67ID:s4Wj3oqo
>>80
型落ちやし、最新ラインにするなら、金は同じくらいかかる
日本の問題は、金がないのと見合うマーケット取れない事
0119名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:09:09.57ID:INwd66xV
漏れ電流の問題は一体どうなるん?
量子力学的にトンネル効果で限界とか言う話はどうなったんだろうか
0121名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:11:50.81ID:grW0Y3d2
 





>>114 土人!

これは、全く新しい 欧州からのチャレンジだ!!!!

これまでは、半導体微細化のテクノロジーは、
インテル半導体のための製造装置をつくって来た
日本の三菱が担って来た。

ところが、オマエらがっかりな日本のおっさんアタマどもは、
ムーアの法則の限界しか、言わなくなっていた。

オランダからの新しいチャレンジャーが、
半導体のさらなる微細化に成功することになった。
これから、我々が世界の巨大半導体産業と結合していく。


ニッポン 脱落 !!!!
ニッポン 脱落 !!!!





 
0122名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:15:10.42ID:kCCwfs7S
>>119
だから今の先端プロセスのトランジスタ(MOSFET)は3次元構造になっているんだよ
昔の2次元構造でゲート線幅がそのままプロセスの世代の名前になっていた時代とは違う
0124名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:17:50.09ID:INwd66xV
>>122
あー線幅を表す指標じゃないんね
つまり相当値になってんのかな
0125名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:19:13.58ID:hFxDzzkK
>>124
ただのブランドだよ
技術的にはトランジスタ密度を使う
0127名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:23:32.66ID:INwd66xV
積層させるのにフラットに構築するのは難しそうだなぁ
もうその辺りの研究情報は長らく追ってないからよくわかんないわ
0129名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:53:51.76ID:/c8t81x0
台湾、シナ、チョン
この三国はIT、デジタル製品生産で世界的だけど
日本だけ東アジアの落ちこぼれになっちまったなあ
0131名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:57:06.89ID:/c8t81x0
>>18
ハイテク生産で人件費ワロタ
アパレルかなんかと勘違いしてんのかw
0132名刺は切らしておりまして
垢版 |
2020/12/06(日) 18:59:07.36ID:acQSqA53
>>66
制裁じゃないぞ、ザルで不明が大量に出たので
ホワイト取り消しで輸出管理が強化されただけ
手続き上、一時停止は起きるが輸出禁止じゃ
始めから無いw
0133名刺は切らしておりまして
垢版 |
2020/12/06(日) 19:08:07.30ID:RcVTB1Ay
>>86
設計は昔から強くは無い分野だよ。
インテル、AMD、NVDIA、クアルコム等
ちょっと知識があれば分かるでしょ。
0134名刺は切らしておりまして
垢版 |
2020/12/06(日) 19:15:05.23ID:kR/paWX+
https://news.mynavi.jp/article/20201127-1531857/
ムーアの法則は1nm以降も延命へ、imecとASMLが次世代露光技術の開発で協業

日本の半導体企業をはじめとして、多くの半導体企業が「ムーアの法則は終焉した」、
あるいは「コスト高で無益だ」として、プロセスの微細化から次々と撤退していったが、
imecは終始一貫、ムーアの法則の延命を唱えて、今や世界最先端の微細化研究機関となった。

超微細化に必須のEUV露光技術についても、日本の露光装置メーカーが開発段階で撤退する中、
ASMLとともに、社運を賭けて技術開発を進め、今日に至っている。

imecは1nm超に至るロジックデバイスロードマップを公開
imecは、ITF Japan 2020において、
3nm、2nm、1.5nm、そして1nm超に至るロジックデバイスの微細化に向けたロードマップを示した。

Van den hove氏は最後、「ロジックデバイスのプロセスを微細化する目的は、
従来から俗にPPACと呼ばれる、パワー(消費電力)削減、パフォーマンス(電気的性能)向上、エリア(面積)縮小、コスト(経費)削減である。
微細化を3nm、2nm、1.5nm、さらには1nmを超えてSub-1nmへと進めるに際して、
これら4項目に加えてエンバイロンメント(環境)に十分配慮して持続可能な社会に適合する微細プロセスの実現を心掛けていきたい」と語り、
今後もプロセスの微細化を継続していく意欲を示した
0137名刺は切らしておりまして
垢版 |
2020/12/06(日) 19:36:03.77ID:HsoSXpAE
電子が飛び散る問題は解決したの?
0138名刺は切らしておりまして
垢版 |
2020/12/06(日) 19:46:09.91ID:1LLcdCcZ
TSMCは旧世代ファブを利用して本格的にイメージセンサー製造に進出する
自社グループの旧世代ファブを利用してイメージセンサー製造できる、TSMCやサムスンによって、
ソニーのシェアと収益は削られていく
0140名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:04:15.53ID:fy7PLiaZ
何で日本で生産できないんだろうね。
人件費の問題で無いというのなら、環境負荷が大きいのか?
あるいは、日米半導体交渉の足枷か?
0141名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:08:42.16ID:fy7PLiaZ
>>136
なるほど、「丁寧に洗うのではなく最初から汚さない」ということか。

家の掃除と思えば、当然とも思える作戦だな。
0142名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:11:27.11ID:O0tI2QYu
>>139
委託どころか、製品特許をインテルやIBMから買い取って
むしろ自前の幅広げてる、真逆の方向に動いてるな今
0143名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:11:45.08ID:fy7PLiaZ
とはいえ「部屋をキレイにする」だけならむしろ日本人こそ得意な分野だ。

日本で出来ない理由は…リスクが大きすぎるということか?
あのインテルですらこの体たらくだし、わからんでもないな。
0144名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:13:23.96ID:8pznCnd2
>>124
最小幅3nmであって、全ての回路が3nmってわけでもないし
ここのパソコン先生はプロセスルールが全てと思っている初心者多いけど
実際の半導体設計はそんな簡単なものじゃないし
0145名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:18:06.45ID:hFxDzzkK
20年前に東北大の半導体の研究室に居たけど1nmまで作ってたから
そこまでは物理限界じゃばいんだろうな
0146名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:19:28.26ID:TQ+sn5Af
2020年の半導体売上高ランキングトップ15、日本勢最高位はキオクシアの12位
https://news.mynavi.jp/article/20201127-1531754/

トップ15社の本社所在地域・国別内訳は、米国が8社(内訳は、ファブレス5社、IDM3社)と過半を占め、
台湾(ファブレス1社、ファウンドリ1社)、韓国(IDM2社)、欧州(IDM2社)がの3国・地域が各2社、そして日本が1社(IDM)となっている。
その唯一の日本勢はNANDメーカーのキオクシアで、12位となっている。

トップ15入りを果たすには、少なくとも95億ドル(約9500億円)の半導体での売り上げを持つ必要がある。

各社の第1〜3四半期(1〜9月期)の実績と第4四半期のガイドライン(自社による業績予測)などをもとに年間売上高を予測したもので、
IDM、ファウンドリ、ファブレスのすべてを含む形のランキングとなっている。
そのため、半導体市場規模はファブレスとファウンドリでダブルカウントされ水増しされているが、
IC Insightsは、同社の会員である造装置や素材や薬品・ガス・純水メーカーなどに、ファウンドリの規模を知らせるために、あえて含む形としている。
0147名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:23:59.37ID:vJaVgNNq
で、何作るの?
スマホのSoC
0149名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:35:46.74ID:R2BUbYte
TBSはもうTELに役員送り込んでないのかな
0150名刺は切らしておりまして
垢版 |
2020/12/06(日) 20:36:20.08ID:4gcQajRo
ちなみに日本のロジック系は32nmな
10年前で完全に壊滅
0151名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:01:33.39ID:XiSykTRG
オランダと台湾が凄いってのはわかったが、それでなぜおまえらが勝ち誇ってるの?
ていうか、TSMCが来るのは既定路線じゃねえか
インテルざまあ、俺はアムダーだって言うならわかるが
0152名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:08:58.87ID:brFosBQy
>>146
売上なら、委託先も上位になるんじゃね?

製造委託が、今の半導体は多いんでしょ。
0153名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:11:05.37ID:brFosBQy
本当にもうかるなら、自前で技術を囲いこんで作るんじゃね?
台湾とかに、委託せずに・・

日本の某メーカーとか有名だろ。
0156名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:15:27.42ID:fC+X6JYt
https://news.mynavi.jp/article/20201117-1503988/
韓国勢の出願が増加する韓国でのEUV関連特許、日本勢はフォトマスクで健闘

韓国産業通商資源部傘下の韓国特許庁は11月12日、韓国でロジック半導体製造に続いてDRAM製造でも使われ始めたEUVリソグラフィに関連する特許の過去10年間にわたる出願動向調査結果を発表した。
過去10年間に韓国で出願されたEUV露光関連特許を企業別に分類すると、
独Carl Zeissが18%、Samsung Electronicsが15%、ASMLが11%、韓S&S TECHが8%、TSMCが6%、SK Hynixが1%で、これらの6社で全体の59%を占めている。
S&S TECHは、日本ではあまりなじみがないが、2001年創立のマスクブランクスメーカーであり、海外にも輸出している。
技術別では、EUVプロセス技術32%、EUV露光装置技術31%、EUVマスク技術28%、その他の9%に分布される。

EUVプロセス技術の分野では、Samsung Electronicsが39%、TSMCが15%で、両社の出願だけで全体の54%を占めている。
現在、この2社がEUV露光装置を提供するASMLの2大顧客となっている。
この分野では、東京エレクトロン(TEL)が5%で3位に入ったのが注目される。同社は、世界市場でEUV向けコータデベロッパ(クリーントラック)をほぼ独占している。

マスク技術の分野では、韓国のS&S TECHが28%、日本のHOYAが15%、韓国の漢陽大学が10%、AGC(旧旭硝子)が10%、Samsung Electronicsが9%の順であり、
日本勢が今まで韓国で出願されたEUVマスク関連特許は全体の1/4を占めている。

露光装置技術では、Carl Zeissが52%、ASMLが35%、Samsung Electronicsが5%、ニコンが2%だった。
Carl Zeissは、ASMLと協業し、EUV露光装置の光学系を一手に引き受けている。
0157名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:15:53.19ID:fC+X6JYt
ニコンはキヤノンとともにすでにEUV露光装置の開発・製造から撤退しており、ASMLの独走を許している。

日本では、半導体産業の復権を目指して
長年にわたるEUV露光関連の国家プロジェクトが進行してきたが、日本の半導体企業が微細化競争から早々と撤退してしまい、日本にはもはやEUV露光装置メーカーもそれを必要とする先進半導体企業もなくなり、
かろうじてフォトマスクと(今回の調査では取り上げていない)レジストの分野で存在感を維持しているのが現状である。

現在、東京応化工業、JSR(旧日本合成ゴム)、信越化学など日本勢が高いシェアを有しており、レジストトップメーカーの東京応化工業は、すでに韓国に進出し、
サムスン物産と合弁でEUVレジストを含む半導体製造用レジストの現地生産を進めているが、米DuPontも韓国内でEUVレジストの量産準備中である。
0158名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:19:51.87ID:amU93AZJ
日本は古い装置をメンテで誤魔化していくしかない
新規開発する金も無いし完全に詰んでるな
0160名刺は切らしておりまして
垢版 |
2020/12/06(日) 21:57:19.93ID:FlntTgZF
>>144
アップルがTSMCに最新プロセスで作らせたCPUの性能が、あれだからねえwww

もう、ムーアの法則は終わったんだよ
0161名刺は切らしておりまして
垢版 |
2020/12/06(日) 22:09:47.57ID:MCQurTvB
大丈夫 日本には四季があるから
0162名刺は切らしておりまして
垢版 |
2020/12/06(日) 22:13:06.53ID:MCQurTvB
あ、重要な事を忘れてた。
日本にはコミュ力があるからね。
今、企業が求める人材要件の最重要項目だ。
0164名刺は切らしておりまして
垢版 |
2020/12/06(日) 22:27:38.89ID:O4QuVWU8
>>161
ソウル近郊に、巨大なスラム街
韓国の自殺率は、世界最悪レベル


ホルホルするなら

お前の祖国を心配しろ
wwwwww
0166名刺は切らしておりまして
垢版 |
2020/12/06(日) 22:29:15.12ID:O4QuVWU8
>>165
お前は、バカだろ?

さっさと祖国に帰るべきだね。
0167名刺は切らしておりまして
垢版 |
2020/12/06(日) 23:02:31.75ID:6vkRWeuo
欧米、特にアメリカさんの他国半導体企業潰しがあったから
日本はダメになった。 今は、中国を潰してる真っ最中。

TMSCは、実質アメリカの傘下。
その最先端工場の主力はアメリカに移転中。
0169名刺は切らしておりまして
垢版 |
2020/12/06(日) 23:14:20.86ID:xhav20fF
>>163
・駐在員が意味不明な「ご挨拶」にやってくる

・意思決定権を持たずに面会を希望する

・本社の役員が戦略的な意図もないまま、観光気分でやってくる

・情報を一方的に取るばかりで与えない

・対面であっても何の成果もない

この壮大な時間の無駄を、グローバルな大企業が必須とする、コミュカと言うなら
嫌われる要素しかねぇや。
0171名刺は切らしておりまして
垢版 |
2020/12/06(日) 23:22:33.38ID:hFxDzzkK
一方、中国は爆速で20nmを立ち上げてる
スマホ用だと一昔前だが基地局用だと十分使える

日本はニコンの製造装置を中国に売ればいい
日本というアジアの西側諸国がありながら中国に裏切ったのは欧米の方だ
0172名刺は切らしておりまして
垢版 |
2020/12/06(日) 23:26:10.10ID:60sbrMsF
https://news.mynavi.jp/article/20201201-1547309/
米国防総省がGFとの軍用半導体調達契約を累計11億ドルに増額

米国国防総省傘下のDefense Microelectronic Activity(DMEA)は、国家安全保障のために必要なレガシーおよび先端の半導体チップの調達を潤沢に行えるようにするため、
2020年11月にGLOBAL FOUNDRIES(GF)と新たに4億ドルの半導体調達契約を結んだ。これにより、国防総省とGFとの契約金額は累計11億ドルに達するという。

GFは、中国四川省成都に建設した半導体ファブを量産開始することなく閉鎖し、中国でのオペレーションから撤退しており、
こうした行動が、DMEAによるGFへの信頼を増すことにつながり、半導体調達額の引き上げにつながったと、米国の半導体業界関係者は見ている。

米国や中国政府は、国家安全保障確保およびハイテク覇権のため、国内の半導体製造を強化する方向で主要半導体メーカーに、国内に新たなファウンドリ向けの最先端ファブを建設するよう誘致している。
GFは、すでに最先端ロジック向けプロセス開発を中止してしまっているが、14/12nmプロセスまでは製造可能である。しかし、その先の先端プロセスでのファウンドリサービスを行えるファブは米国内には存在しない。
そこで、台湾からTSMCをアリゾナ州に誘致して5nmプロセスまで米国内で行えるようにしようとしている。

また米国政府は、IntelやSamsungにもファウンドリ向けの先端ファブを米国内に建設するよう要請しているといわれている。
韓国内では、テキサス州オースチンにあるSamsungのFab S-2(プロセスは14nmどまり)にEUVラインを増設することを検討中との報道が出回っている。
Intelは現在、トラブル続きのCPUの製造を続行するかそれともTSMCに製造委託するかの検討中であるからそれどころではないだろう。今のところ、IntelもSamsung米国子会社であるAustin Samsung SemiconductorもDoD Trusted Foundryの認定を受けていない。
0174名刺は切らしておりまして
垢版 |
2020/12/06(日) 23:56:42.14ID:0EBCNJUM
3nmファブの3nmって、商品名であって、プロセスルールじゃないんやね
今まで騙されてたわ。。。
0175名刺は切らしておりまして
垢版 |
2020/12/06(日) 23:59:51.80ID:TrgAKFWx
インテルべったりで液浸までしかできないニコンは、もうおしまいだな。
パソコン需要が急減速してきたあたりで気がつきゃ良かったのに。
0176名刺は切らしておりまして
垢版 |
2020/12/07(月) 00:03:55.03ID:Lu3HNz+C
新しいファブも建てられない日本には、関係のない話ですね。
業界と会社レベルなら取引あっても、
もう国家レベルにならないスケールになってしまった。
0184名刺は切らしておりまして
垢版 |
2020/12/07(月) 07:38:29.53ID:9PvA319O
半導体はギャンブルだからな
微細化プロセスには莫大な資金が必要で勝てば高収益になるけど、負けたらものを産み出さないゴミになる

TSMCはASMLの技術が進むようにリスク生産を繰り返し何万枚というウエーハを捨てる気でテストを繰り返してASMLにフィードバックしてASMLが装置に盛り込んで行った背景があるから
TSMCとASMLは蜜月の仲なんだよ。
更にASMLの露光装置は納入残が多くあって半導体需要に追いついていない。
サムスンのトップがASMLに行ったからと言って供給出来るものではない。

と言う背景があってTSMCは5nmを余裕で作れるようになっているが、未だに歩留まりが悪いサムスンは安売りでしか対抗出来なくなっているというのが現状。
莫大な損失を出し続けてもクアルコムにスナドラを提供し続けなければいけない。
更に言えば中国が国策で半導体に力を入れる事が決定してるのでサムスンは敗者決定
0186名刺は切らしておりまして
垢版 |
2020/12/07(月) 07:49:18.46ID:9PvA319O
ただ、技術貿易収支(特許収支)では日本はアメリカに次いで世界二位の収入があって、台湾勢も韓国勢も特許使用料を払っている側だから大きくマイナスなんだよね
0190名刺は切らしておりまして
垢版 |
2020/12/07(月) 08:21:31.95ID:A/s7PspE
>>78
中見は外国製
CPU グラボ メモリーw
0192名刺は切らしておりまして
垢版 |
2020/12/07(月) 09:39:49.73ID:eb+Tb7dO
キヤノンは後工程装置の一部までやってるけども
ニコンにはその保険すらない。
競合のある検査装置、品質検証装置じゃ収益炎上の火消しにもならなかった。
0195名刺は切らしておりまして
垢版 |
2020/12/07(月) 10:02:05.17ID:ys9fJNyt
>>69
記事をちゃんと読んでないんだろうけど、
日本メーカーは3割程度のシェアで、
一番重要な機器は性能もシェアもオランダにぼろ負けだぞ。
日本メーカーが生き残ってるのは薄利で最先端ではない機器ばかりで、それで辛うじてシェア3割だぞ。
0197名刺は切らしておりまして
垢版 |
2020/12/07(月) 10:07:33.58ID:QLqJxRgA
日本的な雇用慣行下だと技術サイクルの早い分野はもう無理

新卒一括採用、終身雇用、年功序列が一番機能した時期の
人材と遺産でくってるようなもんだから皮肉なもんだ
みんなこれやめたくないんでしょ?じゃあ先端分野なんか無理だよ
0199名刺は切らしておりまして
垢版 |
2020/12/07(月) 10:27:57.46ID:zXyDDePf
それがなければ最終製品が作れない!って要所で
最先端の粋を結集した機器ではなく、その材料供給者にしかなれないって構図だな
中台韓の上にいたはずが、いつの間にか日本が部材屋の下請けになってる。

舵そのものを手離した結果、業界の方向性と最新トレンドの決定権まで手元から消えたから、
もう言われるがまま流されるままになるほか無い。
0200名刺は切らしておりまして
垢版 |
2020/12/07(月) 10:32:57.13ID:/emPXEF5
>>184
>中国が国策で半導体に力を入れる事が決定してる

民主主義国家からの制裁によって
中国共産党は、アメリカの製造装置や
ASMLのEUV露光機を売ってもらえなくなった
ファーウェイは自力では40nmしか出来ない

だから、どんなに頑張っても7nmには進めないし
サムスンにも絶対に勝てない

共産主義者は調子に乗りすぎたな
民主主義国家の技術をパクって量産するだけで
自分たちでは何も開発できない
0201名刺は切らしておりまして
垢版 |
2020/12/07(月) 10:38:19.28ID:yz2lbgEn
TSMCとAMSLでええんちゃう?やっぱSMHとか分散がええのん?
0202名刺は切らしておりまして
垢版 |
2020/12/07(月) 10:40:19.33ID:OIGBO+nG
>>74

日本は最先端のプロセスルール用の製造装置からは脱落。
その領域はASMLが独占している。
0203名刺は切らしておりまして
垢版 |
2020/12/07(月) 11:03:59.20ID:4ZAj68hl
>>197
派遣の大幅な規制緩和もお忘れなく。

使い捨てられるのが前提で安い給料で働く人が大きく増えたので、
モチベーションは激減、知識や技術の継承も発展も無し、
言われた事だけやっていれば良くて、言われてない事(派遣の契約に無い事)をしてはいけないから、
才能があっても言われた事だけしかしない役割限定人材。
0206名刺は切らしておりまして
垢版 |
2020/12/07(月) 11:30:30.82ID:nJFvuUoQ
もっと微細化して光の波長より短くなったらどうするの?原子を操作?
0207名刺は切らしておりまして
垢版 |
2020/12/07(月) 12:09:18.13ID:QjzlHC8A
>>42
その商売してるのがAMDだな

Corei5はまあ正直安い
ハイエンド以外はintelでも良いぐらい(dGPUが付いてるし)
0208名刺は切らしておりまして
垢版 |
2020/12/07(月) 12:37:21.63ID:QLqJxRgA
>>203
何か勘違いしてるけど
日本的雇用慣行を維持(延命)する為の非正規(派遣制度)だから

日本的な雇用慣行を維持しないなら派遣を使ってえられるメリットは
緩和前の業種に限られるのでそもそも問題ならない
0209名刺は切らしておりまして
垢版 |
2020/12/07(月) 13:43:00.71ID:gsE6TJTn
>>192 
キャノンが半導体分野に進出した時
取引先の半導体関連企業に根掘り葉掘り質問してノウハウを得ようとした
それで大顰蹙を買った
0210名刺は切らしておりまして
垢版 |
2020/12/07(月) 14:00:22.76ID:xhji6ofp
>>209
192が言ってるのは買収した製造装置会社のことだと思うぞ
後工程だとダイボンダのキヤノンマシナリーかな
0212名刺は切らしておりまして
垢版 |
2020/12/07(月) 14:12:05.57ID:9PvA319O
>>188
そう言うことじゃなくて、その特許が無ければ物が作れないでしょ?って話しなんだけど理解出来てる?
アメリカや日本の特許が無ければスタート地点にも行けないんだよ?
0213名刺は切らしておりまして
垢版 |
2020/12/07(月) 14:14:27.80ID:GeFKJ6N8
>>195
> 日本メーカーは3割程度のシェア

10年前は6割あったがネトウヨがホルホルしている間に半分以下になったか
0216名刺は切らしておりまして
垢版 |
2020/12/07(月) 14:40:41.31ID:mHEuzDR4
システム名称が3nmというだけで、本当に3nmなわけじゃないでしょ。
X線領域じゃん。パターンの露光とかできるの?
0217名刺は切らしておりまして
垢版 |
2020/12/07(月) 14:42:40.01ID:2Fpg9oZt
EUV完全撤退で勝敗決定したのが2017年くらいだから
今は遥かにひどい状況になってるぞ。
0219名刺は切らしておりまして
垢版 |
2020/12/07(月) 14:52:06.45ID:/Ukod8hJ
装置ビジネスは先端の一桁や20nm台どころか、
30、40台や65nmでも勝負にすらなっとらんね。

半導体露光装置のシェア(2020年3月)

EUV ASML100%
ArF液浸(EUVの前世代) ASML97% ニコン3%
KrF(EUVの前々々世代) ASML65% キヤノン31% ニコン4%
i線(EUVの前々々々世代) キヤノン70% ASML20% ニコン10%

https://media.rakuten-sec.net/articles/-/25984

隙間のArFドライ(EUVの前々世代)だけニコンのシェアが高いらしい。
0220名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:09:56.21ID:D9kiPJ+a
>>184
>微細化プロセスには莫大な資金が必要で勝てば高収益になるけど

そういう成功体験があるから、TSMCは、もはや微細化のメリットはなくなってるのに、暴走を止められなかったんだね
0221名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:17:22.86ID:4ZAj68hl
EUVがらみの国家プロジェクトのその後も、

Samsung Electronicsなどの海外企業は技術成果を持ち帰るのに成功、EUVで半導体の本格量産を開始
日本では先端ロジックデバイス製造業がほぼ消滅

という対照的な流れになったようだな。

日本のEUV周辺技術開発企業「EIDEC」が解散 - 日本のEUVの研究協業が終焉
https://news.mynavi.jp/article/20190403-801385/
0223名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:38:43.19ID:nyIF+jj3
>>140
半導体交渉で叩き潰されたからね
同じことを中国相手にやっていて、今度は中国が斜陽
0224名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:40:15.21ID:nyIF+jj3
>>222
過小評価するヤツもな
0225名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:41:32.84ID:gzv+hPzL
>>182
今までの元請けと下請けの関係を完全に逆転させたモンスター企業だよ。
TSMCから技術の指導を受けるファブレスが多い。
0228名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:48:10.06ID:DsNZfD7E
>>223
韓国・台湾は、中国に巨額の投資をしてるんじゃね?
すでに韓国・台湾は、中国と一心同体みたいなものでしょ。
0229名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:50:18.79ID:DsNZfD7E
>>222
韓国・台湾は、製造装置や材料を日本や欧米に依存してるんだろ。

その時点で、後追い産業の限界だね。
0230名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:51:34.13ID:nyIF+jj3
>>199
日本は製造業重視じゃなくて金融国家に変わったんだよ
実は国民全部寝ていても食べていくことはできる

製造業で目立つ度に欧米に叩き潰されてきたからね
それでも先端分野では頑張って、特許料を稼いでいる
0231名刺は切らしておりまして
垢版 |
2020/12/07(月) 15:57:18.45ID:gzv+hPzL
>>228
台湾政府は「台湾工場より少なくとも2世代以上遅れの半導体工場」しか作れないという対中投資制限を設けてる。
0232名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:04:40.32ID:uBCUCqOe
日本は国内需要低いから米国輸出向けが主流になる
そしたら米国に関税かけられて割が合わなくなる
迂回輸出忘れたか?
0233名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:11:38.33ID:GQqy72xW
2018/03/02
半導体露光機で日系メーカーはなぜASMLに敗れたのか
https://monoist.atmarkit.co.jp/mn/articles/1803/02/news039.html
共同研究が多いASMLと自前主義だった日本陣営の違い

ASMLは、1984年にオランダのフィリップス(Philips)の1部門とASM International(ASMI)がそれぞれ出資する合弁会社として設立された。
2000年以前、先端微細化プロセス向けの半導体露光機市場におけるシェアは、ニコンがASMLを上回っていた。
しかし、徐々にASMLが拡大し2010年頃にはASMLがシェア約8割、ニコンは約2割と立場が大きく逆転した(キヤノンは早い時期に先端微細化プロセス向け市場から撤退している)。

ASMLとニコンの顧客(納入先)についてみると(2005〜10年)、ニコンは米国のインテルが半数近くを占め、次に東芝(2割程度)の順となっていた。
一方、ASMLは韓国のサムスン電子(Samsung)が最も多く、ハイニックス(現SK Hynix)、TSMCが続く状況だった。

インテルは、マイクロプロセッサを生産しているが、その製品は複雑なデザインとなっている。
最終的に構成要素を合わせるチューニング能力を、インテル自身で持っているが、スペックが狭いことから、それに合わせて精密に作らなければならない。
それだけに個別の要求に合わせた多様なパフォーマンスが必要だった。
それに比べて、サムスン電子やTSMCはDRAM、ASICなどのより汎用的な製品を生産しており、差別化よりも使いやすさや、統一されたパフォーマンス(どの工場でも同じ製品をつくれなければならない)が重要となった。

半導体露光機は究極のすり合わせ型製品であり、それは、日本企業が得意とする分野である。
しかし、半導体露光機のアーキテクチャは大きな節目であるアーキテクチュラルイノベーションを迎えた。
カギとなったのは、同時に2つのウエハーステージを扱うツインスキャン技術だ。さらに、解像度を向上させる液浸の技術も課題となった。
その際にASMLはスムーズにアーキテクチャを変更した。
ニコンも同じアーキテクチャを指向したが停滞したという。
0234名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:12:53.95ID:GQqy72xW
汎用プラットフォームの確立で停滞ののちに失敗した

露光機の各構成要素を見ると、
ニコンの製品は投影レンズ系、照明系、制御ステージ、ボディー、アライメント、ソフトウェアまで、光源以外は自社で調達していた。
ASMLは投影レンズ、照明系はZeiss(カールツァイス)で、制御ステージはフィリップスなど、コンポーネントの全てを外注しソフトウェアだけ自社で担当した。

この結果、ニコンはコンポーネントの知識は豊富だが、調整能力を持つ顧客に力を注いだため、
アーキテクチュラルナレッジ(コンポーネント間をつなぐ知識)が弱く、知識が蓄積されないという皮肉な結果となった。

さらに、20年分の学会論文の著者分類を行ったところ、ASMLは共同論文が多く、外部のサプライヤーだけの論文が多数みられる。
ASMLに関する論文を外部企業が発表することは、自律的に開発分担したことを示している。
一方、ニコンは単独論文が多く、共同論文、外部だけの論文はASMLと比べて大幅に少なかった。

このASML共著論文を詳しく見ると、特にベルギーの研究開発機関IMEC(Interuniversity Microelectronics Centre)との件数が多く、良好なパートナーシップを結んでいることが分かる。
数十年前の主要デバイスメーカーは、ビジネスの優位性を保つために、他社よりも早く新しい製造装置を導入しようと買い求め始めた。
そこでIMECは二番手クラスのデバイスメーカーと手を組んでオープンイノベーションとすることに狙いを定め(当時のTSMC)、この段階で露光機としては隣国のASMLを活用するケースが増えてきたという。
やがて、デバイスメーカーがIMECの存在価値を認識し、オープンイノベーションのプログラムに参画するようになった。
これにより、IMECの会員企業に占めるASMLが納品しているシェアは7割(2008〜2010年)を占めるようになった。

これらの情報からも、ASMLはコンソーシアム、コンポーネント提供企業、露光機以外の製造企業との密接な連携により、
個別の顧客対応よりも汎用品プラットフォーム作りを進めたことが見える。


日系企業も日本で半導体のコンソーシアムを作ったが、国際的な組織にはできずに、運営もうまくいかなかったという点もネガティブに働いた。

「ほとんどの部品を内製化しているために、過去のアーキテクチャにこだわりやすく、
唯一外注している光源と内製レンズの擦り合わせの際にも、同社の象徴的製品であるレンズの性能を引き出すことを優先させてしまった」
外部との連携がうまく進まなかったり、顧客が先発組で最終的調整やサポートの必要性がなかったりしたことで内部に知識が残らなかった。
さらにカスタマイズにこだわり、汎用プラットフォームを確立できなかったことなども「理由としては大きかった」(田路氏)としている。
0235名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:16:39.13ID:yBdrT6p8
下請け部品屋としての地位まで危うくなってきている。
コミュ力の高い人材を採用しているはずなのに。
0236名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:19:41.55ID:yBdrT6p8
165名刺は切らしておりまして2020/12/06(日) 22:27:51.42ID:glJ3TaEc>>166
いやコミュ力は重要だよ
日本人は全員コミュ障じゃん。だから世界で通用しないの

おかしい。 世界に通用するコミュ力を持った人材を採用したはずなのに。
0238名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:25:35.15ID:yBdrT6p8
>>234 得意のコミュ力でどうにかならなかったのか? 
これに関わった連中が、揃いも揃ってコミュ障ばかりだったのかね。
最近重視しているコミュ力なんだから、ロートルに任せず、コミュ力の高い若い人材に
任せれば良かったのでは? そういう人材以外はほぼコミュ障なんだろ?
それじゃあダメじゃん。 世界に通用しないコミュ障に任せるからそうなる。
世界に通用するコミュ力の高い若い世代に任せれば良かったんだ。
0239名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:29:33.07ID:yBdrT6p8
せっかく四季があるのにこれじゃあダメダメだな。
0240名刺は切らしておりまして
垢版 |
2020/12/07(月) 16:31:42.92ID:CkSDvS28
>>167
2024年までにアメリカで5nmの工場を作る予定だが、台湾の3nm工場は2022年に商業生産開始。

アリゾナ工場がTSMCの最先端工場とは言いがたい。
0241名刺は切らしておりまして
垢版 |
2020/12/07(月) 17:55:12.61ID:OdNbo8dn
>>72
やっぱり口だけ番長か
情けない
きちんとした基準を作ってから言えと
結局、知識のない消費者が踊らされただけ
0243名刺は切らしておりまして
垢版 |
2020/12/07(月) 18:02:39.98ID:D9kiPJ+a
>>234
>このASML共著論文を詳しく見ると、特にベルギーの研究開発機関IMEC(Interuniversity Microelectronics Centre)との件数が多く、良好なパートナーシップを結んでいることが分かる。

EUからじゃぶじゃぶ資金が注ぎ込まれたってだけやんwww
0244名刺は切らしておりまして
垢版 |
2020/12/07(月) 18:16:42.51ID:xPSkpvtl
>>221
>>233
> IMECはASMLと同じ1984年に設立された。現在、従業員数は2000人で、うち400人弱はコンソーシアムを構成する企業から派遣されている。日系メーカーからの派遣員もいる。
> 年間予算は約3億3000万ユーロ(約430億円)で、基本的にCMOS、ヘルスケアとライフサイエンス向けのエレクトロニクス、ワイヤレスコミュニケーション、イメージセンサーなどテーマごとにR&Dを行っている。
> メンバーにはこうしたテーマ別のゼネラルメンバー制度を設けている。

EIDECの縮小から崩壊までずっとドバドバ人材が流出したんだな

NikonがEUV撤退してからこっち、精機はリストラ続きなんで、現在進行形でもっと増えてる最中か
以前やってた希望退職も、目端の効く有能な連中から抜けてくから、国内は残った無能で空洞化ばかりが進んでくな
0245名刺は切らしておりまして
垢版 |
2020/12/07(月) 18:16:49.38ID:qwaizpyB
3nmって、原子が30個ぐらい並ぶときの太さだよな?
だんだん、嘘っぽくなってきたな。
0246名刺は切らしておりまして
垢版 |
2020/12/07(月) 18:22:58.62ID:eiO0jaEu
ニューフレアテクノロジー (NFT)(旧 東芝機械と東芝の合弁企業の独立)
日本電子 (JEOL)
日立ハイテクノロジーズ(電子線描画装置については事業縮小化)
アドバンテスト

このへんはまだ大丈夫大丈夫
調べてないけど
0247名刺は切らしておりまして
垢版 |
2020/12/07(月) 18:28:34.75ID:6GmFVvzl
日本の誇り()
0248名刺は切らしておりまして
垢版 |
2020/12/07(月) 18:55:53.56ID:9T2GeRAa
インテルは半導体を積み重ねる三次元半導体にするってさ
パッケージングには日本のイビデンの技術
0249名刺は切らしておりまして
垢版 |
2020/12/07(月) 19:20:46.87ID:xhji6ofp
IMECは試作ラインしかないから装置は評価機数台しか売れないんだよね
しかもプロセスの条件出しでエンジニアに無茶振りさせたりするからみんな行きたがらない
0250名刺は切らしておりまして
垢版 |
2020/12/07(月) 19:21:07.17ID:Q+P5Pm5n
測る場所が明らかになってるので
別に規格が揃ってなくても装置買う業界人は困ってないな

だってもう高い金出して資料買うにも三社しかなくて、サムスン-インテル-TSMC間で読み替えできる連続性持った資料も潤沢に揃ってるからね
身も蓋もない話だけど
0251名刺は切らしておりまして
垢版 |
2020/12/07(月) 19:36:30.98ID:/GBuGzRZ
2020年のファウンドリ市場規模は前年比24%増と好調の見込み - TrendForce
https://news.mynavi.jp/article/20201125-1527800/

新型コロナウイルスの世界的な感染拡大が続く中、半導体市場はさまざまな需要を背景に成長を続けている。
TrendForceの調査によると、中でもファウンドリ業界は強気で、2020年のその市場規模は前年比23.8%増と予測され、これは過去10年間の当該市場における成長率の中でももっとも高い値になるという。

必ずしも最先端プロセスを必要とはしていない既存のアプリケーション(CMOSイメージセンサ、SDDI、RFフロントエンド、TV、Wi-Fi、Bluetooth)および
新興アプリケーション(Wi-Fi6、AI、メモリ・ヘテロジニアス集積)に対する需要の高まりを考えると、28nm以上の成熟したプロセスのウェハの供給も徐々に逼迫してきている。

2020年11月時点の最先端プロセスである5nmだが、TSMCの同プロセスを先行して利用していたのはHuaweiの子会社であるHiSiliconとApple。
しかし、HiSiliconは、米国の制裁により、チップをTSMCから入手できなくなった。
Appleは自社のSoCやCPU、アクセラレータなどをTSMCに生産委託しているが、5nmの生産能力を完全に埋めるほどではなく、その結果、2020年下期の5nmラインの稼働率は85〜90%程度に留まると推定されるという。
とはいえ、2021年後半から2022年にかけて、MediaTek、NVIDIA、Qualcommが5/4nmプロセスでの量産を開始し、
AMDがZen 4 CPUの製造を強化する可能性があるほか、IntelもCPUをアウトソーシングする可能性があり、TSMCは将来的なこうした需要に対応するべく、5nmの生産能力の拡張を進めている。
さらに、Appleが5nmのシュリンク版である4nmプロセスを用いて次世代A16プロセッサ(仮)の製造を行う可能性もあり、5nm関連の生産能力はあっても不足することはない状況と言える。

一方のSamsungも、NVIDIAのGPUの継続的な製造委託に応えることを主目的に、2021年に5nmの生産能力を拡大することを計画している。
ただし、Qualcomm Snapdragon885とSamsung独自のExynos SoCの生産を合わせても、2021年末時点でSamsungの5nm生産能力はTSMCを約20%下回ったままであると予想している。
なお、Qualcommは、Snapdragon 895の製造にTSMCの4nmプロセスを採用する可能性が高く、そうなるとSamsungの5nmを活用する主要クライアントはNVIDIAと自社のシステムLSI事業部門のみである可能性がでてきたといえる。
0252名刺は切らしておりまして
垢版 |
2020/12/07(月) 19:36:52.04ID:/GBuGzRZ
TSMCが南京工場を拡張、さらなるファブ増設の可能性も - 台湾メディア報道
https://news.mynavi.jp/article/20201125-1527872/

TSMCが、中国江蘇省南京市の半導体工場「Fab 16」の300mmウェハ生産能力の拡張を進めており、
2021年には月産2万枚規模に達する見通しと、台湾の代表的ビジネスメディア經濟日報が11月23日付けで報じている。

TSMC南京工場は2018年に月産1万枚規模で生産を開始、16nmおよび(その改良版ともいえる)12nmプロセスを中心に受託製造を行ってきた。
先端プロセス技術流出を危惧する台湾政府の意向もあり、12nmよりも微細なプロセスの提供は行われておらず、EUVの導入予定もない。
台湾での報道によれば、TSMCは、中国でのスマートフォン向けチップの受注が回復したため、計画通り南京工場の生産能力を最大となる月産2万枚まで増強することを認めているという。

中国では同業のSMICが米国政府による半導体製造装置などの輸出に関する規制対象となったことから、SMICからTSMCの南京工場へと製造委託先を変更する企業が増加しており、
工場の拡張はそうした需要に対応することを目的としたものだとみられている。
台湾ファウンドリ各社は、SMICからの振り替え需要が、SMICが主力と据える28nmプロセスにまで及んでおり、台湾および中国の28nmプロセスの生産能力も高めているという。
いずれにせよ、TSMCは中国での受託製造需要の高まりに向け、生産能力を拡大させているのは確実なようで、米国での先端半導体工場建設に加えて、中国でも新たなファブの建設というようなことになれば、
ファウンドリ業界におけるTSMCの寡占がさらに進む可能性が出てくることとなる。

台湾のハイテクメディアDigitimesは11月23日付けで、TSMCの南京工場の生産能力に対し、中国顧客からの需要が上回ってきていることから、
同工場の敷地に新たなファブを増設することを決めたと報じている。しかし、TSMCはまだ具体的な計画を発表する段階ではないとして「ファブ増設を決定した」との報道に対しては否定している模様だ。
0254名刺は切らしておりまして
垢版 |
2020/12/07(月) 20:54:28.01ID:00OvcKBp
>>219
装置は、日本と欧米の独占だな。

台湾や韓国には、装置を作る技術がないんだろ?
その時点で、終わってるよ。
0255名刺は切らしておりまして
垢版 |
2020/12/07(月) 20:57:14.02ID:00OvcKBp
>>252
台湾や韓国の半導体産業は、中国への投資が好きだなwww
特に韓国は、かなり積極的なんじゃね?


米中対立が激化したら、韓国は中国側になるかもなwwwwww
0256名刺は切らしておりまして
垢版 |
2020/12/07(月) 21:46:33.88ID:GbLW+nBB
韓国はTSMCの3周遅れだからな
半導体は常に最新技術に投資しなければいけないのにサボったからこういう結果になってる
0257名刺は切らしておりまして
垢版 |
2020/12/07(月) 21:54:26.93ID:PnrEW3Cb
>>253
そんなんこのスレ読んでるやつの半分ぐらいは知ってるよ
3〜1nmの間はトランジスタの構造を変えて集積度を上げていくのがトレンドみたいだな
3nmクラスではnanoseet構造を使うって言ってるな
5nでは4方向からゲート電界をかける形(GAA)のゲート構造だけど3nだとそれを多層化するような感じかな
1n向けに開発している技術では厚み方向にPchとNchのペアになるトランジスタを2つ作るらしい
これなら単純に2倍の集積度になるな
0258名刺は切らしておりまして
垢版 |
2020/12/07(月) 21:58:37.67ID:D9kiPJ+a
>>257
でも、コストだけかさんで性能は上がりませんでしたとさwww
0260名刺は切らしておりまして
垢版 |
2020/12/08(火) 00:07:07.41ID:y/i4VRp/
>>258
性能騰がるがコストは当然かかる
製品に転化して高くても売れるか
それが問題
iPhoneとか青天井でもかうのけ?
0263名刺は切らしておりまして
垢版 |
2020/12/08(火) 07:15:16.50ID:OB/L6k9C
>>260
だからサムスンみたいな安売りしか脳が無いところも必要なんだよ
TSMCだけしか出来なかったらどんどん値段は上がる
ファブレス企業は相見積もりを取らせて価格競争をさせるんだ
サムスンの歩留まりが悪かろうと関係ない
不良品を納品したらペナルティを課せられ検査装置もちゃんと通させてトレーサビリティを完全なものとして納入させるから。
0265名刺は切らしておりまして
垢版 |
2020/12/08(火) 08:01:28.66ID:uCKTBZis
日本で10nm未満の半導体を生産するのは、いつになるんだろう。
永遠になかったりして・・・
0266名刺は切らしておりまして
垢版 |
2020/12/08(火) 11:32:36.52ID:aQwSY/Ni
>>265
もう日本にまともな自社Fab持ったロジック屋はいないから 

イメージセンサとDRAMとNANDじゃこれ以上微細化しても意味ないし
0268名刺は切らしておりまして
垢版 |
2020/12/08(火) 13:05:41.75ID:h7+HaqNT
>>265
海外メーカーが日本国内に工場を作ってくれない限り、
2050年頃までに生産できれば御の字くらいか?

それまでまともに事業を継続している半導体メーカーがあればだが。

一時期、半導体工場が次々とレタス工場になってたよな。
0270名刺は切らしておりまして
垢版 |
2020/12/08(火) 14:11:37.16ID:OB/L6k9C
>>269
日本が20年遅れてたらそこから素材や装置を買って特許を使ってる組み立て屋は日本のさらに30年くらいは遅れてるな
0271名刺は切らしておりまして
垢版 |
2020/12/08(火) 14:24:30.50ID:MHXGNeA7
>>265
永遠に無いよ
ギャーギャー騒いでたスパコン富岳だってTSMC 7nm製で台湾じゃないと製作できないし
0272名刺は切らしておりまして
垢版 |
2020/12/08(火) 14:31:41.66ID:2hVj/E7D
米国超党派議員が半導体製造を強化する法案を議会に提出
https://news.yahoo.co.jp/byline/tsudakenji/20200615-00183160/

かつての国家プロジェクトは残念ながら失敗続きだった。経営者が半導体の重要性を理解していなかったためだ。
プロジェクトを推進する側の官僚にとっては絶好の天下り先の確保ができた。こういった人たちが主導してきたプロジェクトだからこそ、失敗を続けられたのである。
おかげで日本の半導体産業は、台湾、韓国に抜かれ、競争力を完全に失ってしまった。
このため、半導体メーカーに製造装置を設計・製造して納める半導体製造装置メーカーはいち早く台湾や韓国に活路を見出し、TSMCやSamsungを相手にビジネスを展開し成長してきた。
半導体に使うフォトレジストやフッ化水素、純水、シランやジボランなどの化学ガスメーカーも台湾と韓国の製造に強い半導体メーカーに納入することで活路を見出してきた。
半導体チップが正常に動作するかどうかをテストするテスターメーカーのアドバンテストの売上の海外比率はなんと90%を優に超えている。

半導体メーカーは弱いが、半導体製造装置・検査装置・材料のメーカーは強いという、いびつな構造が今の日本半導体産業となっている。
そこで、志の高い若手官僚は、日本に海外の半導体メーカーを誘致しようと思っている。
しかし、設備投資や研究開発での税制優遇といったインセンティブが全くない。
税制に関しては財務省の管轄であり、経済産業省は何もできないという縦割り構造が霞が関だ。これでは若手官僚の思いは実現できない。

だったら、米国同様、議員を動かせばよいではないか。では議員は、半導体産業の重要性を理解できるだろうか。また、理解しても超党派で動けるだろうか。
米国でも日本と同様、選挙の票田の強い分野と弱い分野があるため、単純に政党として提案できるわけではない。
そこで超党派の議員が集まり今回のCHIPS for America Actにつなげた。

日本で、一つの法案に対して、超党派を組めるだろうか。これは極めて難しい。
初めから所属する党の幹部を説得し、超党派で半導体産業へのインセンティブを設ける税制優遇策を議会に提出することを予め与野党幹部に知らせておけば、できるかもしれない。
「聞いてないよ」と言われないようにたくさん根回しすることを覚悟しなければならないが。


半導体に海外ノウハウ…台湾大手誘致へ 国内再編 成果なく
https://news.yahoo.co.jp/byline/tsudakenji/20200720-00188928/

TSMCを日本に誘致できるだろうか。今の政府の組織では無理だ。TSMCに対して何のインセンティブも与えられないからだ。
売上額や利益に対する税制優遇や研究開発費用の税制優遇などは世界の常識であるが、残念ながら日本では財務省がお財布を握っていてもその重要性をわかっていない。経産省が財務省を説得できないからだ。
経済産業省の若手官僚が特定企業1社のために税制優遇などのインセンティブを与えるように財務省を説得できるだろうか。
できるのであればTSMCが来ないとは言い切れないが、ほとんど無理だろう。タコつぼ的な縦割り行政では、全く期待できないからだ。
本来、内閣なら横ぐしを入れることができるはずだが、これまた理解できる人がいない。
0273名刺は切らしておりまして
垢版 |
2020/12/08(火) 14:33:18.36ID:2hVj/E7D
今は誰でも自前の半導体を持てる時代になったが、それは工場を持たなくても自前の半導体チップを持てるようになったからだ。
すなわち設計だけのファブレス半導体企業が浸透しており、製造だけを請け負う半導体ファウンドリ企業も発展しているためだ。
ファンドリ企業は製造を請け負うのであるが、顧客を獲得するためには設計の知識がなくてはならない。半導体設計の知識の全くない、企業が自分の半導体を持ちたいと言っても対応できないからだ。
TSMCには半導体設計を熟知しているセールスパーソンが多い。昔は半導体設計を手掛けるGlobal Unichip社を子会社として持っていたが、今は完全に独立に動いている。

半導体設計では、システム設計から論理設計、回路図に落とすネットリスト作成、マスクを作製するための配置配線のレイアウト作成、検証、最後にマスク作成、という工程からなる。
最後のマスクをファウンドリに渡して製造してもらう。こういった工程で、顧客がどのレベルまでできて、どのレベルはできない、あるいはしたくないのか、セールスパーソンは顧客と設計の言葉を理解できなければ注文を取れない。
だからこそ、ファウンドリをビジネスにするためには、半導体設計に熟知したセールスパーソンが欠かせないのである。

日本でもファウンドリビジネスをやってきた企業はいくつかある。しかし、自分の工場の生産ラインが余っていたら製造してあげる、という態度だった。
これは顧客が来るのを待って店を開けているだけにすぎない。だからファウンドリビジネスはほとんど失敗に終わった。
営業体制をしっかり整えておらず、半導体設計を熟知したセールスパーソンがいないからだ。
残念ながら、総合電機には期待はできない。任期が3~4年のサラリーマン社長には、長期展望とIT産業のアジャイルな瞬時の経営判断を期待できないからだ。しかも半導体の重要性は、全く認識していない。

もし若手の社員が新ビジネスを提案しても重箱の隅をつつくような質問や調査を要求してビジネス機会を逃してしまうことが多い大企業では、ITや半導体産業は難しい。
今、世界のIT産業の流れは、「とにかくまず走ってみる。方向が間違っていることに気が付いたらすぐに修正する」という方式で走っている。
この流れに逆らうようなこれまでの日本式のやり方ではこれまで同様負けてしまう。今の大企業にファウンドリは難しい。
0274名刺は切らしておりまして
垢版 |
2020/12/08(火) 14:52:16.58ID:ke6r4kId
>>265
国内は微細化してる所でも95nmと65nmプロセスが中心
それ未満は10どころか、40台30台でもう専ら海外へ外注だな。
規模的にも分野的にも、設備更新の投資速度上がらなくて済む部品に選択と集中されてる。
尤も、この辺になるとフランスやイスラエルとか第三国の会社でも自国で持ってるファブの世代になる。
たとえば車載用に180や130nmのもあるが、何百社といる他国の競合だらけ

先端側の20、10nm未満ような、更新速度のすさまじく早い、台湾や韓国が今いる分野は、中国やアメリカみたいに国家戦略と安全保障上、どの分野でも天下目指す強い圧力を、後ろからかけるでもしなきゃ押していけない。
視点が国家戦略じゃなく、いち部材メーカーのままでいる場合だと、現状みたいにレガシープロセスで止まってしまう。
0275名刺は切らしておりまして
垢版 |
2020/12/08(火) 15:01:05.93ID:cUb8UF8C
なんかそろそろピコメートル表示になるのかもしれんな
流石にpmはまだ無理かな
最前線はもうやってるよね
0276名刺は切らしておりまして
垢版 |
2020/12/08(火) 15:04:31.62ID:OHzjFtbT
90nmっつったら三重富士通とかか
集積度いらないパーツに傾いて行けばまぁそうなるわな
昔100nmあれば充分と言ってたイメージセンサーとか
日本も海外もたしか今の最新世代は65nmの300mmだったはず
0277名刺は切らしておりまして
垢版 |
2020/12/08(火) 15:27:01.35ID:0JCS/zRc
リンク先たどって気になったんで貼る。
日本を本社とする半導体企業のIC売上額の世界シェアは6%にまで低下
ファウンドリサービスのTSMCの売上額は含んでない状態でこれ。
旭化成みたく、部品は絶対使われてるんだけども、
メモリ以外では金額にすると、シェアしょっぱいなあ…
https://news.yahoo.co.jp/byline/tsudakenji/20200323-00169192/
0280名刺は切らしておりまして
垢版 |
2020/12/08(火) 15:55:21.80ID:It3iV0aS
>>77
アホかな?
0281名刺は切らしておりまして
垢版 |
2020/12/08(火) 15:59:21.74ID:a7EUCusf
>>260
>性能騰がるが

成功体験を忘れられないってやつか
0282名刺は切らしておりまして
垢版 |
2020/12/08(火) 16:06:42.00ID:aTreKJT9
日本はこの分野じゃ完全に東アジアの落ちこぼれやな
まじで北朝鮮が日本かってくらいしょぼい
中台韓に完全についていけない
0283名刺は切らしておりまして
垢版 |
2020/12/08(火) 16:25:02.70ID:/aIAWtAc
世界中でこれが作れないのはインテルだけ
0286名刺は切らしておりまして
垢版 |
2020/12/08(火) 17:47:15.16ID:c8pNuOBp
>>121
何だかやたら一生懸命だが、
お前、1Å程も関係無いだろうがよw
0287名刺は切らしておりまして
垢版 |
2020/12/08(火) 18:07:15.32ID:II1kc6wQ
東芝(大差あるものの、インテルに次ぐニコン半導体装置のメイン顧客)が、たしか65nmや130nm以外にも、28nmと40nmの製造プロセス持ってたが、パナソニックと同じで事業規模が世界大手の列強と比べて絶望的に小さくて
毎期連続営業赤字に苦しんで、システムLSI事業から撤退したな
まだパワー半導体とかが半導体事業に残ってるけど、撤退基準ギリギリの営業利益率しか稼げてなくて、目下早期退職やリストラしてる最中

20nm台後半という国内有数の、高集積できるプロセスのラインこそあるが、競合との圧倒的規模の差と営業体制は覆せず、会社そのものもグダついてて
正に店開けた状態で沈んでいってる現状

https://weekly-economist.mainichi.jp/articles/20201103/se1/00m/020/052000c
「汎用品」を残した東芝半導体に疑問の声
0290名刺は切らしておりまして
垢版 |
2020/12/08(火) 18:44:31.39ID:qHUfhgKi
>>71
自分でファブを持っていれば
他社に先行して高性能半導体を生産出来る

まぁファブ投資に失敗すると昔のAMDとか
今のintelになるけど…
0291名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:04:17.00ID:DL4Cwljs
>>290

たぶん、ソフトウェアも近い将来こうなるよ。
0292名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:08:22.63ID:9pPmVfgd
中国が侵攻してきたら終了だな
0293名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:32:03.76ID:mOJzPSqT
>>277
そりゃ、日本企業の多くは鵜飼の鵜になるんじゃなくて鵜匠になる道を選んだんだからそうなるんじゃね?
0294名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:34:04.19ID:mOJzPSqT
>>282
おいおい、韓国勢、台湾勢、中国勢の素材や装置、特許は日本な物だぞ?
シリコンウェーハですら信越化学かSUMCOから買わないとまず作ることも出来ない

北朝鮮?野良仕事でもしてろよw
0295名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:35:51.92ID:mOJzPSqT
>>290
半導体メーカーはそういうリスクが毎回の投資であるからな
Intelですらこうなってるんだから賢い日本企業は猿回しの猿じゃなくて
猿回しを選んだってことだよ。
0296名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:36:44.69ID:s7uPIoW3
そして>>111にもどる。
0297名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:37:59.89ID:MHXGNeA7
3ヶ月で約5000億円の利益を上げるTSMCと負け続けの日本企業

> 台湾のTSMCが10月15日発表した2020年7〜9月期決算は、売上高が前年同期比22%増の3564億台湾ドル(約1兆3千億円)、純利益は同36%増の1373億台湾ドル(約5000億円)で、いずれも四半期ベースで過去最高となった。

https://forbesjapan.com/articles/detail/37595
0298名刺は切らしておりまして
垢版 |
2020/12/08(火) 19:48:56.28ID:0QCF+353
ここにも日本人技術者がたくさんいってるんだろうな
0299名刺は切らしておりまして
垢版 |
2020/12/08(火) 20:35:11.76ID:lmmzoaNN
日本の凋落はバブル崩壊後守りに入り
派遣に任せるようになったからだろ
派遣請負なんか会社の為に仕事しないよ
金の為だけだよ
正社員何してる?会議だけだろ
0300名刺は切らしておりまして
垢版 |
2020/12/08(火) 20:45:07.55ID:xeWIzXLm
>>297
またその利益から日本製装置に投資してくれて素材を沢山買ってリスク生産をしてゴーだからね。
投資しなくなったらサムスンみたいに負けるんだから火の車だよ

>>299
お前さんが言っている言葉が正だとしたらその日本にGDPで勝てない国々は何やってんだよって話だよな
日本は会議しかしてないのに対外資産残高世界一だし、国富世界二位だしその日本に負けてる国こそ終わってるよね
0301名刺は切らしておりまして
垢版 |
2020/12/08(火) 21:09:55.16ID:u6Wt7ItU
https://news.mynavi.jp/article/20201005-1374779/
対韓輸出規制でフッ化水素の輸出ができない森田化学が苦境、純利益が9割減
2020/10/05

フッ化水素(HF)製品専業メーカーの森田化学工業が、2019年7月より開始された経済産業省(経産省)による対韓半導体・ディスプレイ素材3品目の輸出管理強化により、業績に大きな影響がでていることが2020年9月29日付の官報に掲載された同社の2020年6月期末(第83期)の決算公告から明らかになった。
それによると、2019年6月期には8億円ほどあった純利益が、2020年6月期には前年度比90%減の7800万円にまで減少していることが明らかになった。
これは、2019年7月以降、同社のフッ化水素酸(半導体製造でシリコン酸化膜のエッチングに使うHFの水溶液)が長期間にわたって韓国へ輸出できなかったことが影響している。
現在、経産省は同社の韓国の顧客に向けた輸出に対する許可を出しているが、すでに韓国では自国資本の化学薬品・素材メーカーであるSoulbrain、ENF Technology、RAM Technolなどがフッ化水素の増産を図っており、
しかも後述するように韓国の大手化学メーカーSK Materialsに至ってはHFガスに続いてHF液(フッ化水素酸)へも参入準備を進めているため、森田化学の韓国への輸出が規制強化前に戻ることはないと韓国半導体業界関係者は見ている。

SK Materialsは、高純度ガスとIT(半導体・ディスプレイ・太陽電池)向け素材の専門メーカーで、2019年末にそれまで100%日本からの輸入に頼っていた超高純度フッ化水素ガスの開発に成功し、
永住(ヨンジュ)工場内に15t規模の生産施設を建設するなど、国産化作業を進め、2020年6月より生産を開始したという。同社は2023年までに供給する超高純度フッ化水素ガスの国産化率を70%まで引き上げることを目標として掲げている。
また同社は、2020年6月には、最先端の半導体プロセスで使用される高純度フッ化水素酸(液体)の国産化にも着手。2020年中にサンプル出荷を始め、2023年までに量産することを目指している。

SK Materialsは、2020年3月にクムホ石油化学(KUMHO PETROCHEMICAL)からフォトレジスト事業も買収し、海外(特に日本)依存度が90%に達しているArFリソグラフィ向けレジストの生産工場を2021年に竣工することも計画している。
新工場は2022年から年5万ガロンの生産規模を目標としている。また、EUVレジストについては、韓国政府による米DuPontの工場誘致が成功しているほか、
東京応化工業もDuPontが進出する前に市場を確保しようと、韓国内の工場での生産を開始している模様だ。
また、同じくSKグループの大手化学メーカーSKCは半導体製造用ブランクマスクを年内にも量産する計画であることを明らかにしている。

SKグループの司令塔としてSKグループ企業全社を統括するSK Holdingsは、2019年8月、外部の研究機関や識者の協力を得て「IT素材ソリューションプラットフォーム」を立ち上げ、
グループの総力をあげて半導体製造用素材国産化を積極的に進めている。
グループ企業には、半導体デバイスメーカーのSK Hynix、シリコンウェハメーカーのSK Siltornなどもあり、ウェハ、素材、デバイスという半導体関連事業の垂直統合が進められていることとなる。
なお、SK Siltronの300mmウェハは、SK Hynixのほか、Samsung ElectronicsやIntel、キオクシアなどの半導体メーカーにも供給しており、新たな製品群となるレジストやフッ化水素なども同様にグループ外の企業にも販売を進めていく方針だという。
0302名刺は切らしておりまして
垢版 |
2020/12/08(火) 21:10:26.16ID:u6Wt7ItU
https://news.mynavi.jp/article/20201019-1425602/
韓国が半導体素材部品装置の国産化計画を強化、海外企業誘致も促進
進む外資系企業の誘致、ADEKAも韓国で先端素材開発へ
2020/10/19

韓国の政府や企業は、素部装の国産化推進の一環として、国内企業に新規参入を促すとともに、海外メーカーの韓国への誘致にも熱心に行っている。
韓国政府の進める国産化には、外資企業の韓国での製造も含まれるためだ。特に、Samsungは、日本の経済産業省による対韓素材輸出の厳格化により、日本からの素材輸出が2019年7月に突然ストップしたため、半導体事業の操業が一時停止になりかねない事態が生じた反省から、
国内の企業に素部装の増産や新規参入を促すとともに、取引のある海外企業に韓国内で開発から製造まで一貫して行うように要請している。

すでにLam Researchは韓国で研究開発から製造まで行える体制を敷いたほか、米MEMC(台GlobalWafers傘下)は韓国内で300mmウェハの新工場を建設、DuPontもEUVレジスト量産工場の進出を決めている。
これに対抗して、東京応化工業も韓国で従来型レジストに加えて新たにEUVレジストの製造も始めているほか、住友化学の韓国子会社である東友ファインケムも高純度薬品・レジストはじめ半導体・ディスプレイ製造用素材の増産体制を敷き、
フジキンやローツェのような部品や搬送機メーカーも韓国工場の大幅拡張を行っている。
こうした動きに合わせるかのように、化学業界大手ADEKAが高いシェアを持つ先端半導体向け高誘電体材料の開発機能の一部を韓国に移すと日本経済新聞が10月17日付けで伝えている。
足元では韓国の化学メーカーが競合素材の開発を急いでおりこのままでは競争力がそがれる恐れが出てきたため、Samsungなど有力顧客との連携をさらに深めてシェアを守るという。

これまで多くの日本企業は、先端材料は技術流出を防ぐために日本で試作し、輸出していたが、
それでは韓国に開発・製造拠点を持つ韓国地元企業あるいは先に韓国に進出した外資系ライバルにシェアを奪われかねなくなっている。
現に、大阪に本拠を置く複数の高純度フッ化水素酸(フッ酸)メーカーは、対韓素材輸出の厳格化の影響を受け、韓国への輸出が極端に減ってしまい、業績が悪化している。
韓国の有力顧客とつきあうには、膝を交えて要望にきめ細かく即応して極めて短期間に開発や製造を行わねばならず、日本からの対応では間に合わないケースが増えているようで、
リスクヘッジの見地から韓国産を優先するケースも増えていると韓国へ進出した日本企業の幹部は述べている。
0304名刺は切らしておりまして
垢版 |
2020/12/08(火) 21:26:20.56ID:AsqcqF3t
民間レベルの世界じゃなくなってる規模じゃ、予算つけて旗振りやってやっと現状維持出来てたのが
一旦バランス崩れだすと勢いあるもんだな
まぁ国策事業を本気出して金出して、全力の推進してりゃ成長するわな
下地あったといえ、まだ1年ちょっとだぞ
0305名刺は切らしておりまして
垢版 |
2020/12/08(火) 21:41:00.22ID:GMSwvEX7
禿がARMの株持ってた時に通産省でも頭下げて製造ラインでも組めば良かったのかもな
こう見ると禿を馬鹿にしてはいけない
0308名刺は切らしておりまして
垢版 |
2020/12/08(火) 22:07:56.65ID:2dBpbM2f
>>301-302
悔しいんだろうけど、韓国内で作れるならサムスンも日本に土下座しないで自国のを使えば良いのになw
まあLGはそれやってiPhone用のOLEDを、何十万枚も捨てる羽目になったんだけどさ
0310名刺は切らしておりまして
垢版 |
2020/12/08(火) 22:49:35.74ID:LVI3IEnv
日本が低賃金の貧乏国になって、海外から装置入れてもらって製造して、そこから国内開発始めて、みたいな数十年前のサイクルからやり直さないとダメだろうな笑
0312名刺は切らしておりまして
垢版 |
2020/12/08(火) 23:10:33.38ID:zLLoSnSd
1分野だけみて勝ち負けいってもしょうがない
問題なのは新しい輸出産業がでてこないのに既存の輸出産業が駄目になってる事
過去の栄光にすがる斜陽国家
0313名刺は切らしておりまして
垢版 |
2020/12/08(火) 23:40:41.59ID:a7EUCusf
>>285
>1nmまでは確実に行くって話になっているんだよ

特に根拠のない数字を自称してるだけだから1pmだって余裕だが、その時の性能を一切言ってないってのがwwwww
0314名刺は切らしておりまして
垢版 |
2020/12/09(水) 00:08:24.76ID:0eZCwFtR
櫛の歯欠けて行ってんなあ
十年前に今後十年を占った日本の官僚と経団連上層部の判断の拙さが時間差で響いてら。
0315名刺は切らしておりまして
垢版 |
2020/12/09(水) 01:05:34.25ID:0hhUGsst
>>293
鵜飼の鵜匠とか、猿回しとか、変な例えしてるけど、
鵜も猿もいなくて廃業寸前という皮肉なのか?
0316名刺は切らしておりまして
垢版 |
2020/12/09(水) 01:22:09.67ID:xhiQ69bB
>>313
1pmとか無理だよ。
Si 原子の大きさ以下は操作出来ないだろ。
教養無いね。
0317名刺は切らしておりまして
垢版 |
2020/12/09(水) 01:23:34.01ID:0hhUGsst
>>310
数十年前というか高度成長期は若い労働力が多かったが、
今はジジババだらけで、今後も数十年ジジババ比率が上がっていくという違いがある。

低賃金貧乏国に転落するのは間違いないが、再起は無理だろう。
0318名刺は切らしておりまして
垢版 |
2020/12/09(水) 04:23:58.25ID:aCKQcR6u
>>313
そもそも今のプロセスで付いてるナノなとこ自体は
例えば7nプロセスでも7nmの部分なんてどこにも無いw
単なるマーケティング用語って言われてるのにねw
0319名刺は切らしておりまして
垢版 |
2020/12/09(水) 06:33:12.39ID:UZLaeLFT
>>316
プロセスルールは実寸法じゃないから、1pmプロセスって言ったもん勝ちだよ
今の7nmだって7nmの線幅なんて無いんだから

揚げ足を取るときはまずググれよ
0320名刺は切らしておりまして
垢版 |
2020/12/09(水) 07:35:25.12ID:iAnAhhsG
>>310
そもそも半導体やFPDのラインに人手は要らんよ

>>315
LG猿はフッ化水素入れろーとかキーキー言ってんだよ
だから頭引っ叩いて無視してるところ
サムスン猿はちゃんと猿回しに言われてお手(リポート提出)したから餌をやって芸をさせてる。
LG猿はなんとかなるニダいってOLEDで何十万枚の不良を出して自己責任で「反省!」てしてるけどまたやるね
その分サムスンがLGの分を受注した。

出来の悪い猿と出来る猿がいるね
0321名刺は切らしておりまして
垢版 |
2020/12/09(水) 08:14:54.87ID:Tj5obkrj
>>318
いやあるよ、ただゲートピッチ寸法じゃないだけ。ゲート周辺のコンタクト寸法なんかは実際に7nmに近いです。中の人ですけど😓
0323名刺は切らしておりまして
垢版 |
2020/12/09(水) 18:46:34.95ID:CGTj7zIl
>>307
台湾や韓国は、製造装着を日本や欧米に依存してるんでしょ。
その時点で、終わってると思うべ。
米中対立で、台湾・韓国の立場が微妙になってるからね。

世界の半導体売上は、アメリカが世界の40%くらいを占めるのでは?
やっぱアメリカの動向が、大きいだろうから。
0324名刺は切らしておりまして
垢版 |
2020/12/09(水) 18:56:00.24ID:TR8FjeM6
>>323
スマイルカーブとか言っても、所詮はスモールバットグローバル。
無いよりはマシだが、最終製品に近い方が市場規模が大きいし、客にもなれる。
だから、自分なら日系装置メーカーより、TSMCの方を選びたいよ。

アメリカは、PCもスマホも規格そのものを主導しているから偉い。
そして、5Gみたいに主導できないとわかったら、即刻制裁発動するのも偉い。
これでは、他の国は太刀打ちできない。
0325名刺は切らしておりまして
垢版 |
2020/12/09(水) 19:20:26.61ID:CGTj7zIl
>>324
レスありがとう。

俺は適当に書いただけだから、黄にする必要はないよ。
0326名刺は切らしておりまして
垢版 |
2020/12/09(水) 19:21:38.72ID:CGTj7zIl
>>325
黄にする
⬇
気にする

に訂正
0329名刺は切らしておりまして
垢版 |
2020/12/09(水) 21:21:28.95ID:REinuQPd
日本は部品ガー素材ガー
なお四半期で利益約5000億円のTSMCからしたらタダの下請け業者

> 台湾のTSMCが10月15日発表した2020年7〜9月期決算は、売上高が前年同期比22%増の3564億台湾ドル(約1兆3千億円)、純利益は同36%増の1373億台湾ドル(約5000億円)で、いずれも四半期ベースで過去最高となった。

https://forbesjapan.com/articles/detail/37595
0331名刺は切らしておりまして
垢版 |
2020/12/09(水) 21:41:43.77ID:Ahwq8ih2
>>321
>いやあるよ、ただゲートピッチ寸法じゃないだけ。ゲート周辺のコンタクト寸法なんかは実際に7nmに近いです。中の人ですけど

言うのは勝手だし、中の人が会社の言い分をむげに否定できないってのはわかるが、それでも「近い」としか言えない
のが哀れだなwww
0333名刺は切らしておりまして
垢版 |
2020/12/09(水) 22:05:19.51ID:ozcv/ePZ
>>324
最終製品メーカーは常に最新設備に投資しなければ勝ち残れないんだぞ?
あのIntelも大規模投資をしてきたけど負けた。
サムスンもちょっとサボったからTSMCに手が届かないところまで離された。
そういう投資と常勝が条件で利益が得られる訳だけど栄枯盛衰、絶対に勝ち続ける事ってこの世の中にはあり得ないんだよ
0334名刺は切らしておりまして
垢版 |
2020/12/09(水) 22:09:12.65ID:ozcv/ePZ
>>329
まあTSMCが利益出してもその中から特許使用料を払わないといけないし、また大規模投資をしなければいけない。
特にプロセスルール競争で言ったら一番ウハウハなのはASMLでしょ
結局ASMLが装置を納入出来なければTSMCの技術的優位が変わらないし、それ以外の装置は日本やアメリカから買うしか無いし
素材も日本に依存してるんだから日本としても悪くない
0335名刺は切らしておりまして
垢版 |
2020/12/09(水) 22:19:14.45ID:2Hw/OTUV
1nmが見えてきたスケーリング 「VLSI 2020」リポート
https://eetimes.jp/ee/articles/2007/07/news024_2.html
湯之上隆(微細加工研究所)

ことし2020年、TSMCが孔だけでなく配線にもEUV露光装置を使う5nmノード(以下、ノードは省略)のロジック半導体を量産している。
また、10月からは3nmのリスク生産を開始し、来年2021年に量産する計画である。そして、2021年のリスク生産を目指して、既に2nmの開発が本格化している。
微細加工の最先端を行くTSMCの状況を考えると、VLSIシンポジウムの発表タイトルに、7nm、5nm、3nmという技術ノードが書かれていても、あまり驚きがない。

ロードマップによれば、28nmでHigh-K/Metalゲートが使われ、16-14nmでFinFETが導入され、7-5nmではEUV露光装置を使い、加えてMiddle of Line(MOL)にCoを使うことになっている。
MOLは、トランジスタ(FOEL)と多層配線(BEOL)をつなぐビアで、imecはCoを使うことにしているが、他にもMoやRuなどの選択肢がある。
そして、4-3nmではNanosheet構造のトランジスタが採用される。今回のVLSIシンポジウムでは、7nm、5nm、3nmのトランジスタに関する発表が多数あったが、この辺りの技術ノードでGate All Around(GAA)のNanosheet構造を使うことは、世界の共通認識になっているように感じた。

さらに、2nmでは、Buried Power Rail(BPR、トランジスタの下に電源ラインを埋め込む構造)を持つForksheetなるトランジスタを使い、1nmでは、やはりBPRを採用したComplementary FET(CFET)になるとロードマップに記載されている。
FinFETからCFETに至るまで、コンタクト・ポリ・ピッチ(PP)を極力小さくし、nMOSとpMOSをセパレートすることによって、CMOSの面積、そしてSRAMの面積を縮小することを目指している。
Forksheetは、VLSIシンポジウムでは、今年初めて登場したトランジスタ構造であるが、Nanosheetを形成し、その中間を絶縁膜の壁でセパレートすることにより、nMOSとpMOSをつくり分けている。
nMOSとpMOSのNanosheetを別々につくるよりも工程数が削減できるのかもしれない。

そして、1nmでは、nMOSとpMOSを縦に並べるCFETを採用する。CFETのプロセスフローは非常に複雑であるが、CMOSやSRAMの面積を極めてコンパクトにできることは間違いない。
問題は、所望のトランジスタ特性を得ることができるかどうかだが、今後の開発に期待したい。

Intelは、現在も10nmのプロセッサの量産がうまくいっていない。その最大の原因は、メタル配線にあると考えている。最初は、M1にダマシン法でCo配線をつくろうとして失敗した模様だ。CoのCMPが不完全で欠陥が除去できなかったからだと推測している。
その後は、どうしているのだろうと思っていたら、Ru配線などを検討していたわけか。もしそうなら、Intelの10nmは前途多難と言わざるを得ない。
SynopsysのVictor Moroz氏もまた、1nmにMoを直接加工するメタル配線について発表していた。個人的な見解では、M1のメタル材料としては、RuよりもMoの方がずっといいと思う。
0336名刺は切らしておりまして
垢版 |
2020/12/09(水) 22:20:18.36ID:2Hw/OTUV
A view on the logic technology roadmap − imec
https://www.imec-int.com/en/articles/view-logic-technology-roadmap

スローダウンしながらも、微細化は続いており、今回のVLSIシンポジウムでは、2〜1nmのトランジスタ構造が示された。
また、その微細加工のために、EUV露光装置が量産適用され、ASMLはフル稼働でEUVを製造し出荷している。
しかし、最先端のシステムや電子機器からの要求はもっと大きく、微細加工を進めながら(More Moore)、
それ以上にトランジスタの集積を要求している(More than Moore)。

ASMLによれば、EUVを使う工程は、7nmで5〜6レイヤー、5nmで10レイヤー以上、3nmで20レイヤー以上であるという。
TSMCは、7nmから孔系にEUVを量産適用し始めた。現在、TSMCでは、孔にEUVを使う7nmの月産ウエハー枚数が170K(K=千枚)、配線にもEUVを使う5nmが130Kであり、ほぼフル稼働状態であると聞いている。
今年2020年には、配線にもEUVを使って5nmを量産している。もはや、最先端の微細加工には、EUVは無くてはならない露光装置となった。

TSMCに量産適用されることにより、EUVの性能も向上してきている。「NXE:3400B」の2019年Q1の平均稼働率は約70%だったが、2020年Q1には10%向上して約85%に改善されている。
この稼働率の改善に伴って、スループットも上昇している。2019年後半以降、1台1日当り、約1500枚の処理が可能になっている。1時間当たりのスループットは、約62枚になる。
また、2020年Q1に最高値として1台1日当り、2700枚以上の処理が実現した。1時間当たりのスループットは、112枚になる。

現在稼働しているEUVは、λ=13.5nmで、NA=0.33である。次世代のEUV露光装置では、λは13.5nmのままだが、レンズの開口数がNA=0.55と大きくなる。その結果、解像度Rが向上する。
NA=0.55のEUV露光装置は、巨大化する。しかし、NAを大きくすることによって、より微細なパタンが形成できる。バークレーにあるThe Center for X-ray Optics(CXRO)のNA=0.5のEUV露光装置で、
米Inpriaの無機レジストをパターニングした結果では、Line & Spaceでは、ハーフピッチ(hp)で8nmが(かろうじてだが)解像できている。他にも、微細なピラーやティップパタンが形成できている。
高NA化したEUV露光装置を使えば、より微細なパタンが形成できる期待が持てる。NA=0.55のEUV露光装置のリリースは、2021年以降になる見込みである。

EUVを製造できる装置メーカーはオランダのASML1社しかない。
2019年Q1に、積算で31台出荷されたEUVスキャナー「NXE:3400シリーズ」は、2020年Q4に53台になった。そして、2020年第Q1に4台追加されたと書かれていることから合計57台となり、この1年間で26台出荷されたことが分かる。
ASMLのEUV製造キャパシテイが年間26台と聞いていたので、ASMLはフル稼働でEUVをつくり続けていることになる。そして、1年間に26台出荷されたが、そのうち20台はTSMCに導入されたとみている。
TSMCは、今後も1年に20台以上のペースでEUVを導入していくとみられる。TSMCに追い付くことを宣言しているSamsungも、これと同等のペースでEUVを導入しようとする動きがある。
開発開始から約20年の歳月を経て、まさにEUVの時代がやってきたと言えよう。
0337名刺は切らしておりまして
垢版 |
2020/12/09(水) 22:27:14.91ID:1wyy8fbU
ほぁー
撤退したニコンほんまどんだけ精機やる気なかったん?
遺産食い潰しとるだけやん
0338名刺は切らしておりまして
垢版 |
2020/12/09(水) 22:51:24.97ID:8dzDrXhD
ぶっちゃけここまで来たなら、ニコンは社長のメンツ保つために、このまま突き進んでくれ。
EIDECも分解して、リストラやって、今更、後戻りできんだろ。
0339名刺は切らしておりまして
垢版 |
2020/12/09(水) 23:08:24.77ID:Ahwq8ih2
>>338
暴走して後戻りできなくなってるのは、TSMCな
0341名刺は切らしておりまして
垢版 |
2020/12/10(木) 00:02:51.71ID:qRSp9rzr
>>332
>>340
営業利益ってことは日本最強トヨタ負けてんじゃん
ネトウヨニートは馬鹿だねえw
0345名刺は切らしておりまして
垢版 |
2020/12/10(木) 13:14:29.21ID:F4JVA8Tk
>>343
そりゃ開発から20年たってやっと量産技術が確立したんだから筋がいいはずがない
しかも量産してるのはたった一社だけ
0348名刺は切らしておりまして
垢版 |
2020/12/10(木) 14:07:35.07ID:ftawozwV
>>346
つか経産省とNEDOでつくった連合の枠は瓦解済みな

連合ごと中台へのJDI身売り決定といいいつものこと
0349名刺は切らしておりまして
垢版 |
2020/12/10(木) 15:30:45.66ID:3li55AYh
>>346
半導体産業は、政治の影響力も大きい。
それは過去の半導体交渉でも、よく知られた話。

米中対立で、台湾・韓国の立場は微妙になってるからね。
数年すれば、勢力図は激変するかもな。
0350名刺は切らしておりまして
垢版 |
2020/12/10(木) 19:10:04.93ID:NU6uXdJN
露光装置のブレイクスルーない?
日本が逆転みたいな。
0351名刺は切らしておりまして
垢版 |
2020/12/10(木) 19:29:12.54ID:d9/nQOsd
液晶だけじゃなくパソコン業界も国内勢が蹂躙される十数年前に、同じ様なフレーズ見たな
その時も一緒で、後発ごときに市場を制する事ができるはずがないと胡座かいて新しい柱も立てず、惰性経営で投資しない理由ばかり積み上げてたわ。
0352名刺は切らしておりまして
垢版 |
2020/12/10(木) 19:36:29.18ID:qRSp9rzr
>>351
ほんとにな
日本人は過去から全く学ばないで同じコケ方するからな
0353名刺は切らしておりまして
垢版 |
2020/12/10(木) 19:57:19.20ID:+8pSfjSN
成熟プロセスで他所もやってる激戦区の28nmなんか残してても死ぬの確定してたじゃん >東芝
そんなに死にたかったのか。
0354名刺は切らしておりまして
垢版 |
2020/12/10(木) 20:38:43.66ID:BykBWGJu
>>350
ないな
NANDは微細化せずに縦積みして容量密度上げるほうしんになってるから微細化関係なくなってるけど
0356名刺は切らしておりまして
垢版 |
2020/12/10(木) 22:10:42.75ID:1fDIjtp8
>>350
今こそ、電子線露光をハイスループット化だ
マスクは要らないし、レンズ交換も要らないし、多重露光技術も要らない

日本電子, 日立ハイテクは電顕業界ワンツートップ
敵に成り得るのはSEMやってるFEI, Tescan, Zeiss, AMAT...結構多いな
0357名刺は切らしておりまして
垢版 |
2020/12/11(金) 00:12:41.63ID:Tg+3RI1D
>>350
キヤノンがナノインプリントリソグラフィ装置をキオクシアに出荷してる
10nmくらいまでは対応できるらしいが量産に使用してるかは不明
0359名刺は切らしておりまして
垢版 |
2020/12/11(金) 06:04:43.52ID:b3Vc7/3V
>>350
冷静に考えるとよ?

年間60台も売れば世界一のメーカーになれる様な装置だぞ?
たったそれだけの数で全世界の高性能IC需要満たせるからな

だけど、アホみたいに湯水の様に開発費ぶち込まなきゃ作れないシロモノで
買える体力あるトコも限られてる

ぶっちゃけ、商売としちゃ効率悪いと思わんかね?
0360名刺は切らしておりまして
垢版 |
2020/12/11(金) 07:04:39.25ID:bTanRPPN
しかも年間60台しか作れないんだぜ?
現状納入残もあってサムスントップがASMLに土下座しに行っても売ってもらえない。
TSMCは不完全な装置のためにリスク生産をしてウエハを大量に廃棄するのを覚悟してASMLにフィードバックしてブラッシュアップさせていく
そういうのが苦手なサムスンは技術的に負けて3周遅れで投資もおよび腰
0361名刺は切らしておりまして
垢版 |
2020/12/11(金) 10:20:04.35ID:1hFyei2d
装置メーカーが悪い
つか半導体メーカーが自社で全部装置作らなくなった時点で終わってる
0362名刺は切らしておりまして
垢版 |
2020/12/11(金) 12:46:59.49ID:xw13BpRX
言い値で一台200億の装置を60台買ってくれるなんて夢のような商売じゃねえか
負け組日本企業の装置なんて値引き合戦のレッドオーシャンなのに
0363名刺は切らしておりまして
垢版 |
2020/12/11(金) 12:58:28.45ID:k5MAjzT7
>>57
下手をしなくても既に日本より台湾の方が人件費高い?
0364名刺は切らしておりまして
垢版 |
2020/12/11(金) 13:10:55.02ID:k5MAjzT7
>>105
原発は安全コストまともに掛けるとかえって高くなるんだが。放射性廃棄物の問題もあるし
数十年ごとに大事故起こしても良いと割り切るなら手抜き出来るが
そんな割り切りは中国くらいしか出来ないと思われ
0369名刺は切らしておりまして
垢版 |
2020/12/12(土) 08:53:32.62ID:4PqPLCGg
>>365
先端技術トップってのは先進国が一度通る道だ
台湾も永久には続かない

インフラと同じなんだよ
後発ほど最先端技術を導入するから追い越しやすい
一度普及したインフラは寿命や陳腐化をきっかけにしてしか次に進めない
だから投資が遅れる
0370名刺は切らしておりまして
垢版 |
2020/12/12(土) 10:25:56.01ID:CXwYU5rv
半導体は常に新しいプロセスルールを追いかけて、更に常勝しないといけないからキツいんよ
あの不動のIntelですら負けたんだから
もともと自社開発が出来てないサムスンは負けたけど、TSMCは今の勝者というだけ

日本?バレーで言ったらいつの時代でもそれらの勝者をサポートするセッターのような役割。
一番テクニカルで重要だな
0371名刺は切らしておりまして
垢版 |
2020/12/12(土) 10:47:00.56ID:xlCbG3Br
韓国も台湾も国中の企業の時価総額を全て足し合わせてもアップル1社に叶わないのに、何を言っているのやらって感じ
0375名刺は切らしておりまして
垢版 |
2020/12/12(土) 13:26:34.57ID:qhzgcxk1
>>367
ソウル近郊に、巨大なスラム街
韓国の自殺率は、世界最悪レベル

ホルホルするなら
お前の祖国を心配しろ
wwwwww
0376名刺は切らしておりまして
垢版 |
2020/12/12(土) 13:30:33.52ID:qhzgcxk1
>>369
台湾・韓国の半導体産業は、中国への投資に積極的なんでは?
特に韓国は、よく話題になるからね。
米中対立で、台湾・韓国はかなり影響を受けるかもな。
0378名刺は切らしておりまして
垢版 |
2020/12/12(土) 14:45:16.01ID:CXwYU5rv
>>376
技術的にTSMCが大幅にリードしてるから韓国勢はTSMCの独占による価格高騰を防ぐ為の安売り要因だからね
韓国勢自身も安売りしかない事は理解してるからな
0379名刺は切らしておりまして
垢版 |
2020/12/12(土) 16:02:09.10ID:dSQerIBT
>>9
検査装置シェア落としてると聞くけど
0381名刺は切らしておりまして
垢版 |
2020/12/12(土) 18:11:48.16ID:PUZA/dpE
>>369
まるでジャパンディスプレイのアホのようだw
お前はこれから10年以上tsmcとサムスンに負け犬の遠吠えするしかないんだぞ?

>>370
他社から製造装置部品送ってもらえないだけだろ?サムスンは10年後に追いつく予定だぞ
サムスンの体力なら余裕
0382名刺は切らしておりまして
垢版 |
2020/12/12(土) 18:34:41.49ID:CXwYU5rv
>>381
サムスンはもう技術的な追求は辞めてしまってるじゃんw
そんなのはただの安売り要員としかファブレスは見てないよw

FPDももう負けたから2021年3月に撤退を決めたしOLEDも中国勢の方が最新の設備を持ってるから負けるのは既定路線だし

そもそもネットじゃサムスン凄いアピールを見るけどGDPでみたらここ数年でロシア、ブラジル、カナダに抜かされてて完全に奈落に落ちてるから
それに準じてサムスンの力も落ちていくよ。
0383名刺は切らしておりまして
垢版 |
2020/12/12(土) 18:51:19.48ID:ZumdHWMW
比較的最近の日本語の資料でいいのあったから貼っておくよPDFのスライドだけど
https://kobaweb.ei.st.gunma-u.ac.jp/lecture/20200121_nakatani.pdf
ギリ素人でもわかる内容なんじゃないかな
ロジックの微細化では重要なのは最小線幅じゃなくて最小の実効ゲート長
これを小さくしないと消費電力が下らない。ゲート長を短かくして漏れ電流を
下げるためにプレーナ型(1方向から電界をかける)からダブルゲート、トライ
ゲートのFinFETに変わり次世代では4方向から囲む形のGAAになる
Intelの10nm, TSMCの7nmはEUVを部分的に使い出したところ
EUVの露光装置は日本のメーカーは扱っていない
0384名刺は切らしておりまして
垢版 |
2020/12/12(土) 19:53:50.71ID:CmAmD36N
>>382
サムスンはtsmcには遅れとってるけど
最先端プロセス技術は追求してるでしょ
メモリでは最先端だし
0387名刺は切らしておりまして
垢版 |
2020/12/12(土) 22:32:11.61ID:CkzTx+bS
競合がもうマイクロンくらいしかいないのに苦しいもなにもないだろう。言い値でオッケー。
0388名刺は切らしておりまして
垢版 |
2020/12/12(土) 22:33:53.76ID:AlgUhe9O
マイクロンは大丈夫なの?
0389名刺は切らしておりまして
垢版 |
2020/12/12(土) 22:34:32.47ID:AlgUhe9O
キヤノンのナノインプリントって未来性ある?
0390名刺は切らしておりまして
垢版 |
2020/12/12(土) 22:57:48.68ID:CE7P/vi9
 





だから、 コレって全部が、技術者の反乱なんだ。

安倍と安倍サポ らが、
韓国への必須物資輸出を停止していただろ

本当は、反日の強敵であるところの台湾がチャンスなった。
台湾独自に日本を通さずに半導体製造が出来る
ようになれるチャンスだった。

台湾や世界のエンジニアらは、
欧州系なエンジニア優遇ギルドに参加
するようになっていった。

オランダを中心に、技術レベルはたいしたことなくても
日本以外のところで独自に半導体を製造出来る
ようになったいったのだ。





 
0391名刺は切らしておりまして
垢版 |
2020/12/12(土) 23:04:24.27ID:PNZZpFam
>>390
日本語でおk?
0392名刺は切らしておりまして
垢版 |
2020/12/12(土) 23:06:48.47ID:CE7P/vi9
 





>>391 朝鮮人韓国人テロリスト!!!!!!!!!!
朝鮮語をしゃべるな! 半島へ帰れ!
公安に通報している

公安、仕事をしろ!!!!!!!!!!!!!!!!!!!!!!





 
0394名刺は切らしておりまして
垢版 |
2020/12/12(土) 23:26:44.69ID:CE7P/vi9
 





>>393 朝鮮人韓国人テロリスト!!!!!!!!!!
朝鮮語をしゃべるな! 半島へ帰れ!
公安に通報している

公安、仕事をしろ!!!!!!!!!!!!!!!!!!!!!!





 
0395名刺は切らしておりまして
垢版 |
2020/12/12(土) 23:34:07.74ID:0T3udsNd
マスコミは自民党政権批判できなくなるので報道しないが日本のコロナ死亡者は2000人だが実はインフルエンザより少ないのだ
0396名刺は切らしておりまして
垢版 |
2020/12/13(日) 00:39:52.76ID:/ko3ibfU
>>18
うーん。
まだ昭和のつもりかな?

日本人の人件費は先進国最低レベルで
中国よりも安い場合もちらほら。

なので製造業が日本国内に回帰してきているのを知らないのか?
0398名刺は切らしておりまして
垢版 |
2020/12/13(日) 08:38:27.29ID:VQ3P0qA5
またネトウヨの大嘘
例えばASMLの光学はドイツのツァイス
日本製パーツはほとんど無い
0399名刺は切らしておりまして
垢版 |
2020/12/13(日) 08:53:34.37ID:TwJLphZ+
EUVの露光機にはレンズが無いし、内部を真空にしなきゃならんから、
光源も含めてそれまでのエキシマ露光とは全然違う。
安定して運用出来るかはまだまだこれからだろう
0400名刺は切らしておりまして
垢版 |
2020/12/13(日) 10:15:44.04ID:SuJv0RzI
TSMCはラインを安定させるまでにウエハーを100万枚無駄にするらしいね。
0407名刺は切らしておりまして
垢版 |
2020/12/14(月) 22:33:09.85ID:Dcjw36/r
>>406
残念だけど、実習生の実態はさておき
実習生は実習生であり労働者としてカウントしてないというw
0411名刺は切らしておりまして
垢版 |
2020/12/17(木) 23:04:05.26ID:+pXvUqSF
>>408
5nmとか3nmとかが必要なファブは少ないからなぁ
ASML一社独占だから商売になるけど
複数社で取り合うほどパイは大きくないのでは?
最先端から数世代遅れの大量生産品を相手にする方が割が良いという判断かな
0414名刺は切らしておりまして
垢版 |
2020/12/18(金) 07:04:33.42ID:McAmqfld
3nmか、すごい時代になったねえ
0415名刺は切らしておりまして
垢版 |
2020/12/18(金) 07:17:38.52ID:P5uk96/2
>>408
ASMLは盟友TSMCからの納入残があるくらいだからサムスンに回す装置があるのかどうかと言うところ

ASMLの主要メンバーは台湾に張り付いてTSMCの行うリスク生産でバグフィックスをしつつ成果を出してるからね
ボタンを押せば出来上がる装置にするニダってところとは対応が違うよそりゃ
0417名刺は切らしておりまして
垢版 |
2020/12/18(金) 07:27:48.73ID:8ZCYpHW2
>>3
国と民間が一丸となっていたのが、昔の日本。

今、アメリカや中国や韓国は、国と民間が一丸となってるが、日本は民間だけで戦ってる。
0419名刺は切らしておりまして
垢版 |
2020/12/18(金) 07:34:39.74ID:8ZCYpHW2
>>418
アメリカも韓国も資本主義やで。
0420名刺は切らしておりまして
垢版 |
2020/12/18(金) 09:07:39.82ID:Y8V+Ad9i
4 :Ψ[]:2020/12/14(月) 13:23:31.47 ID:WibJeXoM
ただ「集団ストーカーの名前が出ててワロタ」だけだと、何の話かさっぱり判らないが
創価学会が、組織的に嫌がらせ行為やストーカー行為を働くのは事実だからね

ネット上で学会の嫌がらせ行為は【集団ストーカー】と呼ばれるが
内容として紹介されているものと、実際に行われているものとの間には、かなり違いがある

第一点は、電磁波攻撃、思考盗聴、音声送信、テクノロジー犯罪、等というものはない、という事.
これらがあると言ってる人達は、ほぼ例外なく、統失の人達なので放置すればいい
(統失以外であると言ってる人は、被害者を統失にでっち上げる目的で工作活動を行ってる、被害者を偽装した学会員達)

二点目は、サイト類では「ストリートシアター」とか、「モビング」とか、各行動に変な名前が付けられて
もっともらしい説明が添えられているが、説明も分類方法も、大抵、間違ってるって事
例えば下記は実際に創価学会が行った嫌がらせと考えられているが

> 「私が相談を受けた集団ストーカーは、かなり大手の外資系会計事務所の法務部が、ある宗教団体の行動部隊へ委託して行われたという、か
>なり悪質な一件でした。信じ難いことですが、一部の教団にはそういう"業務"を請け負う部隊があり、各企業の法務部とパイプを構築している
>のです。裏仕事を暴力団に頼むのと構図は同じです。しかもそのときは、顧問弁護を務めていた女性弁護士も承知していたというのだからひど
>い話です。道ですれ違いざまに『山田一郎(仮名)、死ね』とささやいたり、ホームの対面からじっと視線を合わせたりするわけです。ノイロー
>ゼになって産業医に相談に行くと、『最近、人の視線が気になりませんか』とか、『幻聴は聞こえますか』と誘導する。で、私に相談してきたの
>は、その集団ストーカーをしたひとり。『上からの指示でこんなことをしたが、もうやりたくない、死にたい』とメールで泣きついてきました。
>やる方もこたえる。負の連鎖ですよ」

友人か知人から、嫌がらせを受けていると言われて
「会社の通勤時に道ですれ違った人に「死ね」と囁かれ、コンビニに向かう道中でどこかから『山田一郎、死ね』という声が聞こえた」
「駅のホームの対面やショッピングセンターで知らない人達からじっと視線を合わせられた」
なんて相談を受けたらどう思う?
気のせいじゃない?って答えて、それでも相手が腑に落ちない様子を見せたら、精神を病んだとか、統合失調症でないかと疑うと思う
これが学会の狙いであり目的

夜間、駐車場や道路に先回りさせた車のハイビームをわざと浴びせる、住宅街のど真ん中で立小便をさせる
道路やショッピングセンター、コンビニで通路をわざと塞ぐ、他にも無数に嫌がらせの手口がある

こういう行動は全て、被害者が第三者に相談した時に、被害者が神経過敏になっているとか、被害妄想に陥っているとか
相談者に誤解させて、精神を病んだ人だ、統合失調症でないかと、相談者が思うように仕向ける事
要するに統合失調症にでっち上げるのが目的
モビングだのストリートシアターだの、変な分類わけと名称がつけられているが
目的は全て『被害者を統合失調症にでっち上げる事』で、分類わけには何の意味もない
統失でっち上げが狙いの学会の思う壺ってわけよ
学会の嫌がらせの中に、統失の妄想障害的な、証拠が残らない物が多い理由は
警察対策や裁判対策であると同時に、統失でっち上げも目的だからって事
また、ネットの普及で情報発信が容易になったので、被害者がネットで嫌がらせ被害を訴えた時
読んだ人が心の病気と思い込み、相手にしないように仕向ける目的もあったのだろうと言われてる
下記クワトロ氏の暴露話に出てくる手口も内容は事実だよ

http://namidame.2ch.net/test/read.cgi/news/1214197583/627
627 名前: クロトワ(新潟県) 投稿日: 2008/06/23(月) 16:30:00.90 ID:ql5UinYO0
>> 608
集団ストーカーなど存在しない。
地区幹はその地域の個人一人一人の電話番号、住所、
詳しい地図、身辺情報などを網羅したデータベースを作って持っているいるだけだ。
気に入らなかったら3人ぐらいの学会員にストーカー、引越し(最悪自殺)に追い込むための
ネガティブキャンペーンをその人間の住んでる地域で行うだけ。
それが集団ストーカーに見えちゃうなら仕方ないな。 3
0421名刺は切らしておりまして
垢版 |
2020/12/18(金) 10:40:21.90ID:6MrQCbFO
韓経:また大物捕まえたサムスン…台湾TSMCに「判定勝ち」
https://news.yahoo.co.jp/articles/9c9159cf036e405a1a1b8de078520b7cb03579a7

サムスン電子が米国半導体メーカーのNVIDIAのグラフィックス・プロセッシング・ユニット(GPU)委託生産量を
追加受注した。1月、1次物量に続いて2次発注でもファウンドリ(半導体受託生産)世界1位の台湾TSMCを抜いた
ことになる。サムスン電子が技術力と納期での優位を示し、TSMCから「判定勝ち」を勝ち取ったという評価が出ている。
0422名刺は切らしておりまして
垢版 |
2020/12/18(金) 13:11:20.66ID:AehecO6R
>>411
これから5G6G始まるからその大量のデータをさばく需要はでるんじゃない?
一般の民生品まで降りてくるには時間かるだろうけど

使用用途次第かもね
例えば車通信用なら、ユーザー側は、寒暖の差や振動があっても壊れず安定した通信優先だから、最新よりは実績あって量産が整ってる方を選好したりかも
0423名刺は切らしておりまして
垢版 |
2020/12/18(金) 13:13:51.74ID:AehecO6R
>>415
サムスン側はASMLから少しは購入できた!来年以降も購入できた!
みたいな記事は出てたね

ただ、歩留まり良くするためにはテストメチャメチャしないといけないだろうし、その面ではTMSCは強いだろうね
0424名刺は切らしておりまして
垢版 |
2020/12/18(金) 13:51:44.73ID:AehecO6R
>>421
こないだのqualcommみたいに切られたあと低価格で契約してたしな
値下げかな?

nvidia不具合大丈夫かねえ?
0425名刺は切らしておりまして
垢版 |
2020/12/18(金) 14:26:52.36ID:P5uk96/2
>>423
韓国企業にリスク生産なんて無理だろ、韓国製のフッ化水素を使ってiPhone用のOLEDを生産して何十万枚が使えないという事が分かるまで作り続けるほど馬鹿なんだから

その点TSMCはじっくりと粛々とバグフィックスしていくから強いんだよ。

>>424
スナドラなTSMCは5nmプロセスルールを余裕で生産できるけどサムスンは歩留まりが悪くてイマイチのようだな
でもクアルコム側からしたらギャラクシーの上位モデルに搭載させる約束と低価格にさせる事に成功したからクアルコムの勝ちだね
サムスンはサプライヤーとして歩留まりを考慮してたくさん作らないと検査で弾かれるから必死だろうな
この業界は一社独占にせずに寡占状態にして価格競争をさせるのが通例だから仕方ないね

日本?歩留まり悪い訳だからシリコンウエハーや洗浄液など沢山仕入れるからどんどんやれって感じだね
0426名刺は切らしておりまして
垢版 |
2020/12/18(金) 16:46:48.31ID:AehecO6R
>>425

TMSCは製造業としてソコ強いよね
キチンとデータとってるぽいし、日本みたいに用意には産業スパイやらされないだろう
初期不良や想定外があっても解決期待できる

サムスンは発表を聞いてても検証しないとリスクある
量産成功したって書かれてても1000万枚中10万枚とかありそう

後は熱処理と積層型がどこまでいくかだね
0428名刺は切らしておりまして
垢版 |
2020/12/23(水) 12:27:15.27ID:C8/ydQ5H
TSMCは本当に失敗しないよな。
もう完全に先頭走ってるだろうから、そういうこともありそうなんだけど。。。。インテル何やってんの?ってのに繋がるな。
0430名刺は切らしておりまして
垢版 |
2020/12/30(水) 08:06:21.22ID:qk2FsJY1
TSMCは石橋を叩いて叩いて叩きまくるから 
初のEUVプロセスのインテグで数千億円とウェーハ数万枚使ったってのはガチでしょ
0431名刺は切らしておりまして
垢版 |
2020/12/30(水) 11:27:38.37ID:SQMR78+X
TSMCが頭おかしいのが、年間の売上高が400億ドルくらいなのに150億ドルくらい設備投資してる。700億ドルくらい売り上げてるintelと同等の規模。
営業利益率が40%くらいあって、そこで生まれた金を殆ど再投資に回してる。
これだけ投資してるからこそ一人勝ち出来てる一方、一回こけたら怖いなとも思う。
0432名刺は切らしておりまして
垢版 |
2020/12/30(水) 14:32:18.09ID:NfwRb52e
>>431
半導体の投資ってそういうもんだよ
ハイリスクハイリターン、常に新しいものに投資しないとすぐに負け組になる
あのインテルですら負けたんだから栄枯盛衰だよ
サムスンもTSMCに技術的に大敗してるから残された手は安売りしかない
0433名刺は切らしておりまして
垢版 |
2020/12/30(水) 14:47:54.07ID:Iza23pUJ
台湾は日本の全滅を反面教師にしてるんだろ

「日本の電機全滅」はなぜ起きたか 本当の要因(大西 康之) | 現代新書 | 講談社(1/2)
https://gendai.ismedia.jp/articles/-/51791
0435名刺は切らしておりまして
垢版 |
2021/01/01(金) 21:44:12.01ID:sk3uH5DW
>>433
全滅しとらんやろ台湾勢も日本の特許使わないと物作り出来ないんだから
0437名刺は切らしておりまして
垢版 |
2021/01/01(金) 22:05:48.02ID:jVvTCGZP
ひょっとして電子回路の物理的限界まで行ったんじゃね。
だとしたらすげぇ
0438名刺は切らしておりまして
垢版 |
2021/01/01(金) 23:18:42.95ID:sk3uH5DW
>>436
日本の技術貿易収支は世界二位だぞ
台湾や韓国はその特許にお金を払って物作りをしてるから収支はマイナス
0442名刺は切らしておりまして
垢版 |
2021/01/02(土) 11:56:30.21ID:pOvJuaxw
半導体もFPDも設備投資に莫大な費用がかかるのと業界で負けたら大きなマイナスで終わるのがこの業界
あのインテルですら負けたんだから栄枯盛衰なんだよ

それならば素材や特許、製造装置、検査装置を提供してた方が安定して長い間ビジネスが出来る
それを選んでるのが先進国アメリカや日本って事
0445名刺は切らしておりまして
垢版 |
2021/01/02(土) 17:14:52.00ID:wabQW/1a
>>442
ゴールドラッシュ時に金を掘らずに
金鉱夫たちにジーンズやツルハシを売ったほうが儲かる理論かな

ただね、そのジーンズって半導体製造装置のことなんだよ
日本はジーンズに使われる生地や糸や染料を売っているだけに過ぎない
誰でも出来る商売なのでライバルは国内外に沢山いる

その材料を使って実際の製品にすることが出来るのはTSMCとASMLだけ
儲けはこの2社が吸い取っていく
0446名刺は切らしておりまして
垢版 |
2021/01/02(土) 20:58:29.32ID:+cay6i3Y
>>445
ASMLは露光装置だから性能の良いツルハシだな
EUVの量産化に20年近くかかって開発費が巨額になったからTSMC、Intel、Samsungに数千億の支援を受けたのは知ってるよね
0447名刺は切らしておりまして
垢版 |
2021/01/02(土) 21:06:40.11ID:wUuO3Tip
ASMLは四半期でこのボロ儲け

>  半導体露光装置世界最大手、オランダのASMLが14日発表した7-9月(第3四半期)業績は、売上高が前年同期比32%増の39億5800万ユーロ(約4900億円)、純利益同69%増の10億6200万ユーロだった。

蘭ASML、純利益69%増7-9月 | 電波新聞デジタル
https://dempa-digital.com/article/122386
0448名刺は切らしておりまして
垢版 |
2021/01/02(土) 21:17:46.95ID:pOvJuaxw
>>445
誰でも作れるなら反日の韓国が使うわけないやんw
韓国はフッ化水素一個抑えられただけで狼狽してるんやで?
0451名刺は切らしておりまして
垢版 |
2021/01/04(月) 20:29:22.48ID:pgRwX5Bb
>>1
TSMCのチャレンジャー精神が凄いなw
しかもキッチリプロジェクトを成功してくる
AMDやNVIDIAのCEOも台湾人だしなw

逆に日本人は自分たちで限界作って揚げ足とってやめてしまうw
一緒には働きたくない人種w
0453名刺は切らしておりまして
垢版 |
2021/01/04(月) 22:32:18.38ID:uXSwdPp5
>>451
日本人の何割かは、日本スゴイと思い込んでいて、次々と数字で明らかになる凋落っぷりで突き崩されていっても、
部品ガー 素材ガー 製造装置ガー 特許ガー で、
日本が世界に対して勝ち続けていると思い込んでる連中がいる国だからな。
0454名刺は切らしておりまして
垢版 |
2021/01/04(月) 22:38:07.95ID:gxS7P159
>>452
半導体工場はロボットばっかりで人件費関係ないぞw
0455名刺は切らしておりまして
垢版 |
2021/01/04(月) 22:57:17.11ID:itCA3U9+
>>11
研究レベルでなら作ってるけど、それも歩留まり悪い
商用化なんて、とてもとても
0456名刺は切らしておりまして
垢版 |
2021/01/04(月) 23:57:57.80ID:uXSwdPp5
>>452
TSMCの全従業員の19年の年収中央値は163万元だそうなので、日本円だと約600万円ほど。
日本の東証一部上場企業の平均年収にだいぶ近づいてきています。

海外を含めた全従業員なので、台湾よりもさらに人件費が安いはずの中国拠点の従業員も含まれているはず。
台湾だけだと、どのくらいなんでしょうね。

あと、TSMCは1月から20%の賃上げをやるのと、人件費が日本よりも高い米国に工場を建てるので、
下手すると数年で日本よりも高い賃金になりそうですね。
その時に「人件費が高いから」という理由で、微細化の製造プロセスの開発は止めるんでしょうかね?

https://news.yahoo.co.jp/articles/58dd97d6d5d80e9a35bb1b9deb3b69087826420d
0458名刺は切らしておりまして
垢版 |
2021/01/05(火) 07:44:17.84ID:NlQy88fG
>>450
ドカタになるなら反日の韓国が使うわけないやん
鵜飼(日本)の鵜(韓国)だろ
紐でキュって首縛ってあるんだよ
粗相したらフッ化水素止めてキュってなったのがLG
土下座してリポートだして逃れたのがサムスン
0459名刺は切らしておりまして
垢版 |
2021/01/05(火) 07:45:42.64ID:NlQy88fG
>>453
凋落してるのはお前だけだろw
0460名刺は切らしておりまして
垢版 |
2021/01/05(火) 12:42:20.74ID:mYgWkD7c
>>456
工場労働者に日本の東証一部上場社員並の
給料を支払う勝ち組国家台湾様

コロナも抑えてるしどっかの負け組衰退島国と大違いだな
0461名刺は切らしておりまして
垢版 |
2021/01/05(火) 15:49:21.39ID:4VLKzPzN
部品と機械で儲かるから、完成品はJDIの液晶以外捨てるとかバカ理論やった結果がコレだよw
0462名刺は切らしておりまして
垢版 |
2021/01/05(火) 20:44:56.44ID:cEdMvv67
>>457
涙拭けよ
0463名刺は切らしておりまして
垢版 |
2021/01/10(日) 16:05:48.09ID:XGaJKz1l
>>448
狼狽してるのは日本企業だと小学生でもわかる
お前、精神疾患もちか?馬鹿でも、そこまで真逆の現実をドヤ顔で語らんだろう
ああ、バカウヨ病だったなw
なら仕方がない

対韓輸出規制でフッ化水素の輸出ができない森田化学が苦境、純利益が9割減
https://news.mynavi.jp/article/20201005-1374779/

韓国サムスン、大幅増益 スマホ好調、売上高は過去最高
https://www.jiji.com/jc/article?k=2020102900465&;g=int
0464名刺は切らしておりまして
垢版 |
2021/01/10(日) 16:16:28.54ID:XGaJKz1l
>>448
もう1つ答えてやると、なんで韓国が使うかって?
そりゃあ、日本企業が土下座してダンピング価格で売りに来るからだよw

ファーウェイ「MatePad Pro」部品の8割は日本メーカー製。※お前がドヤ顔で貼り付けた自虐ソースwwwww
https://japanese.engadget.com/matepad-060020828.html

8割が日本製???すげーって思うだろ?w

>使用されている部品1411点のうち8割にあたる1148点が日本製だったとしています
>ただし、製造コストに占めるウェイトは小さく、集微網が算出した269.18ドルという製造コストのうち、
>日本メーカーが占めるのは15.7ドルで構成比4.7%となっています。

一方韓国
>韓国企業はメモリやストレージなど3点を供給
>構成点数比で0.2%ながら、製造コストの15.7%を占めています。
つまり、1点あたり5.2%

韓国の部品1点あたりの値段>>>>>>>>>>越えられない壁>>>>>>>>日本製部品全て1148点の値段wwwwww


埼玉村田製作所、中国の生産子会社を閉鎖
https://www.nextmobility.jp/car_parts/saitama-murata-manufacturing-closes-production-subsidiary-in-china20201124/

>スマートフォン市場などの主要市場における市場ニーズの多様化、開発サイクルのスピードアップ、
>海外メーカーとの競争激化により厳しい経営環境に。

完成品同様に、部品も海外勢に負けて撤退はじめてるのが現状だぞ?wwwww
いい加減、日本の現状を正しく理解しろ低能w
0466名刺は切らしておりまして
垢版 |
2021/01/10(日) 21:28:28.57ID:DYpkD4es
>>463-464
読んでないけど
結局日本製使わなきゃいけない現状からしたら鵜飼の鵜である韓国は鵜匠である日本に飼われないと生きていけないって事だろ?
0468名刺は切らしておりまして
垢版 |
2021/01/18(月) 02:18:27.80ID:hkxawsd2
>>466
日本語通じてないの?
日本企業が土下座して、ダンピング価格で買ってくれって頼むから買ってるだけ
フッ化水素なんていい例じゃん
19年末に輸出許可出たのに、全然買ってくれないwwwwwwwwww
韓国国内での国産化に加え、中国や台湾製に切り替えたからなwwwww
そのうち全部中国製に切り替わるだろwwww

https://tk.ismcdn.jp/mwimgs/4/5/1040/img_45bfe5f0593647eacfc9ed1b84658e96137246.jpg
0469名刺は切らしておりまして
垢版 |
2021/01/18(月) 07:40:40.56ID:bt+RDX3N
>>468
その割にLGはiPhone向けのOLEDで何十万枚も不良を出して信用を落としたじゃんw
結局韓国内での内製化は出来てなくて日本に土下座しないと代替品は無いって証左じゃんw

一方、サムスンはトップが日本に来て土下座したうえでリポートを出してるからフッ化水素の輸出を許可されてて、LGのケツ拭きをサムスンがやったわけで
0470名刺は切らしておりまして
垢版 |
2021/01/18(月) 07:48:42.59ID:bt+RDX3N
更に中国は元々リポートは出していて、サムスン、LGよりも最新の設備を大量に保有してるので完全に中国勢の方が有利。
今年のiPhone用OLEDは中国勢のシェアの方が多くなだろうな。
韓国勢はダンピング要員として生かさず殺さずって感じ
韓国勢が今年3月に撤退するLCDのように既に死んでる。

日本?元々素材や装置はほとんど日本製なんだから主要販売先が中国に変わるだけ。市場のパイは変わらないし得られるビジネスも変わらない。

高額な設備投資を回収出来ずに撤退するのは韓国勢のみ
0471名刺は切らしておりまして
垢版 |
2021/01/23(土) 10:30:01.85ID:/MdimXqQ
>>469
その不良が、フッ化水素であるという客観的な証拠ソース出せよ低能wwwwwwwww
ほんとバカウヨって、妄想の激しい精神病だよなwwww
0472名刺は切らしておりまして
垢版 |
2021/01/23(土) 10:40:23.86ID:Nkjl2SYq
>>471
「フッ化水素 iphone lg 不良」でググればたくさん出てくるぞw

じゃあ逆に他に失敗する要素はなんだ?不良を出すときは5M変動があった時と分かっているんだよ
0473名刺は切らしておりまして
垢版 |
2021/01/23(土) 11:39:50.96ID:/MdimXqQ
>>472
いっぱいあるのに、1つも出せない情弱低能バカウヨwwwwwwwww
ほらほら、またお前の頭の悪さを指摘してやるから出せよwwww
いつものように噂レベルのこじつけをソースにしてるんだろwwwwwwww
ドヤ顔でソース出して、ふるぼっこされまくってソースを貼らない知恵を身に着けたのか???wwww
お前の出すソースは、高確率で俺がバカウヨを叩くソースになってるからなwwwww
0474名刺は切らしておりまして
垢版 |
2021/01/23(土) 11:42:31.90ID:/MdimXqQ
ファーウェイ「MatePad Pro」部品の8割は日本メーカー製。※お前がドヤ顔で貼り付けた自虐ソースwwwww
https://japanese.engadget.com/matepad-060020828.html

8割が日本製???すげーって思うだろ?w

>使用されている部品1411点のうち8割にあたる1148点が日本製だったとしています
>ただし、製造コストに占めるウェイトは小さく、集微網が算出した269.18ドルという製造コストのうち、
>日本メーカーが占めるのは15.7ドルで構成比4.7%となっています。

一方韓国
>韓国企業はメモリやストレージなど3点を供給
>構成点数比で0.2%ながら、製造コストの15.7%を占めています。
つまり、1点あたり5.2%

韓国の部品1点あたりの値段>>>>>>>>>>越えられない壁>>>>>>>>日本製部品全て1148点の値段wwwwww
0475名刺は切らしておりまして
垢版 |
2021/01/23(土) 13:53:07.82ID:jEq+Qvae
>>474
韓国製(日本人技術者が設計して日本の特許を使って日本の素材と装置を使って韓国の電気を使ったもの)w
OLEDなんかはまさに日本のものだぞw
完成品なんかはコストの安い発展途上国で作るもんだ
0476名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:09:09.38ID:/MdimXqQ
>>475
反論できなくて完全に話逸らして、またバカウヨの低能アピールしててワロタwwwwww

平成29年度特許出願技術動向調査
https://www.meti.go.jp/press/2018/05/20180514001/20180514001-1.pdf

>有機EL装置の出願動向では、2012年以降、韓国勢・中国勢による出願件数が増加しており、日本勢を凌駕している。
>有機EL装置の出願動向では、2012年以降、韓国勢・中国勢による出願件数が増加しており、日本勢を凌駕している。
>有機EL装置の出願動向では、2012年以降、韓国勢・中国勢による出願件数が増加しており、日本勢を凌駕している。

今何年だと思ってんだよwwwwww
話逸らしても間抜けなレスを連発するだけの情弱低能バカウヨwwwwwwww
0477名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:33:38.49ID:jEq+Qvae
>>476
特許の出願「件数」は意味がなくて、使われて始めて意味があるんだぞ?

この表を見ればわかるけど日本は他国の特許はほとんど使ってないけど韓国は他国のばかり使っているから技術貿易収支はマイナスになっている。

https://www.nistep.go.jp/sti_indicator/2019/RM283_51.html

使えない特許なんかいくらあってもゴミにしかならない
またコリアンの誤魔化しをしてきた訳だけどこういうのが積み重なって韓国は信用を失って行くんだよ
0478名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:42:24.50ID:jEq+Qvae
OLEDのシェアについて

完成品メーカーのサムスンは設備投資を繰り返さなければいけないけど、素材メーカーは必要最小限でいい
設備メーカーも今では高精細を目指す方向性の投資をすればいい
完成品メーカーで今最新設備を持っているのは中国企業でAppleに対してもOLEDのサンプルを出しているので
次はサムスンのシェアを食って行くだろうな。
FPDで言えば韓国勢は今年の3月にLCDから撤退すると発表している。
これは今まで投資してきた設備が死に設備になると言う事。
投資した分回収出来てるといいねw
対して日本の素材や装置を扱っているメーカーは引き続きOLEDやLCDを扱うメーカーにそれらの製品を売り続けることが出来るから大ダメージは回避している。
0479名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:44:25.16ID:/MdimXqQ
>>477
また話を逸らして馬鹿アピールワロタwwwwwww
日本は完成品作ってもポンコツばかりで撤退しまくってるんだから他国の特許なんて使わないだろwwwwww
日本が好景気だった完成品を世界中に売りまくってた高度成長期時代はどうだったか知らないんだろwwwww
今の韓国みたいに技術貿易収支はマイナスだったわドアホwwwwwwwww

https://www.stat.go.jp/data/kagaku/kekka/topics/img/t77_1.gif

日本の技術貿易収支がプラスになったのは、バブル崩壊後の景気が低迷した時期だぞ低能wwwwwwwwww
しかも日本の技術貿易収支の8割は自社グループ内によるものだドアホwwwwwwwwwwww
お前の貼った自虐ソース内に書いてるだろwwwwwwww
https://www.nistep.go.jp/sti_indicator/2019/img5shou/5-1-05-A.gif

日本の技術貿易収支世界2位は、自社グループ内で使いまわしてるだけの詐欺2位wwwwwwwwww
相変わらず情弱低能馬鹿アピールワロタwwwwww
0480名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:45:21.17ID:jEq+Qvae
ソース貼れんな「OLED 装置 素材 シェア」これをググって一番上に出てきたところを見ればいいよ
0481名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:49:40.85ID:jEq+Qvae
>>479
何を言いたいのか分からんけど今現在日本の技術貿易収支はプラスで韓国はマイナス、その事実は変わりないんだけど
妄想で韓国の未来は今の日本と同じとでも言いたいのかな?
そういうのって全く意味がないからやめた方がいい。
全て事実で話さないと説得力が無いよ。
0482名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:51:03.34ID:/MdimXqQ
>>478
投資して儲けた金で今の立場があるんだけど、馬鹿なのかwwwwww
シャープなんて見てみろよ
経営センスないから無駄に馬鹿でかい堺工場作って、会社傾いて今や台湾企業wwwwwwwwww
パナのプラズマ尼崎工場なんて、数年で閉鎖して今はアマゾンの物流拠点だっけ?wwwwwwwwwwwwwwwwww
そりゃあ、サムスン1社の儲けに日本電気大手数社が束になっても勝てんわ
経営センスのレベルが違いすぎるwwwwwwwww

>大ダメージは回避している

対韓輸出規制でフッ化水素の輸出ができない森田化学が苦境、純利益が9割減
https://news.mynavi.jp/article/20201005-1374779/

9割も利益が減っても大ダメージは回避している(キリッ)
バカウヨの日本語は相変わらず難解だわwwwwwww
0483名刺は切らしておりまして
垢版 |
2021/01/23(土) 15:56:32.79ID:/MdimXqQ
>>481
頭が悪いから理解できないんだろうけど、日本の技術貿易収支は
誰も使ってくれないから8割が自社グループで使いまわしてるって話してるんだけど?w
お前の出したソースを最後までよく読んだのか????wwwwwwwwwwwwww
俺は韓国の未来の話なんてしてないぞ?
日本と韓国の過去と今の現実を書いてるだけだドアホwwwwwwwwww
0484名刺は切らしておりまして
垢版 |
2021/01/23(土) 16:26:02.89ID:uEtcv+Lg
実は先端プロセスで設計する案件はあんまり多くなかったりするから、
国内の半導体メーカーがウン兆円単位の投資するのはムダ極まりない

一番、ボリュームが多いそれなりのプロセスを維持して、
集積度の特に高いヤツだけ、自前で設計&製造はファウンドリに任せたほうがいいわなそりゃ
(おそらく世界中の半導体メーカーがそうなってると思うが)
0485名刺は切らしておりまして
垢版 |
2021/01/23(土) 16:40:35.38ID:uEtcv+Lg
>>483
海外グループが日本本社の技術を使って設計開発してるってことかね?
それを使ってビジネスをして富を生み出してるなら良いじゃないか
(ごく緩い搾取みたいなものだが、まったく問題ないだろう)

まぁ基本的に競合他社は特許を回避したり代替技術を開発しようとするから
カネを払うまでの技術は多くないよ。
あとはその辺が弱い国(まさに韓国とかが代表例)にライセンスするかだなw
0487名刺は切らしておりまして
垢版 |
2021/01/23(土) 21:14:29.23ID:jEq+Qvae
>>482-483
なんか必死に草生やしてるけど、結局のところ韓国は他所から特許費を払わないと物を作れない事実があって
日本は特許を供給して利益を上げている事実があるんだけど?

フッ化水素の問題は韓国から核転用出来るフッ化水素を北朝鮮やイランに横流ししていた事がバレたために「世界平和のために」リポート出せとしているのであって世界から悪の枢軸扱いされてるのは韓国北朝鮮だぞ?
0488名刺は切らしておりまして
垢版 |
2021/01/23(土) 21:17:28.99ID:jEq+Qvae
世界が日本の特許を使って物作りをしているから対外資産残高が世界一の日本になっている訳で、そういう事実から目を背けて妄想と願望とifへの逃避で現実を見つめない民族には未来はないぞw
だから韓国のGDPはブラジル、カナダ、ロシアに抜かれて負け続けてるんだよ
0489名刺は切らしておりまして
垢版 |
2021/01/23(土) 21:45:48.45ID:cBqrFn6R
やっぱジムケラーなのか
0490名刺は切らしておりまして
垢版 |
2021/01/23(土) 23:21:03.07ID:jFnTwfqG
>>488
韓国のGDPは露、加を上回り世界トップ10入りしており、最低賃金と平均年収で日本を上回っており、一人当たりGDPで日本と並ぶ水準。
君の珍説を大学のレポートとして提出した場合、確実にD判定以下となるので、ねらーは明日から本や新聞を読み、大学に入り、勉強したほうがいい。
学も教養も常識も社会性も無いから、5chやねらーは侮蔑、嘲笑の対象なのだよ。
0491名刺は切らしておりまして
垢版 |
2021/01/23(土) 23:29:51.35ID:jFnTwfqG
ミス。2019年では韓国のGDPは露、加の下。しかし、OECD諸国は普通に経済成長しているが、日本のGDPは20年以上成長していない。これが現実なので、ねらーは就職してGDPに貢献しなさい。
0492名刺は切らしておりまして
垢版 |
2021/01/24(日) 01:54:07.04ID:7PfOxkHg
>>9
ステッパーはオランダのASMLだけど
ASMLは一昔前のAppleみたいな企業で自社で内製できない
いろんな企業にこんなもの作ってあんなもの作ってってリクエストするだけの会社
逆にニコンはなんでもかんでも自社製にこだわってシェア落としたけど
まぁどっちにしろ転写のもとになるフォトマスクは世界シェア九割の日本のHOYAだし
シリコンウエハも世界シェア九割の信越化学だし
ASMLのステッパーの駆動系や流量センサー、電磁バルブ、各種センサー
精密サーボモーター、希ガスとかほとんど日本メーカーの部品の塊
光学系はカールツァイスだけどな
0494名刺は切らしておりまして
垢版 |
2021/01/24(日) 10:45:32.40ID:KtB0uDG3
>>490
韓国なんてとっくにトップ10から落ちてるよ
ブラジル、ロシア、カナダに抜かされている

https://www.globalnote.jp/post-1409.html

日本のGDPは旧民主党政権時代から68兆円増えているし、20年間増えてないとするならばその期間に日本を抜かせたのは人口10倍の中国のみ、日本じゃなくて他の国が頑張るべきじゃ?
■ このスレッドは過去ログ倉庫に格納されています

ニューススポーツなんでも実況