X



Intelの次世代技術について語ろう 91©2ch.net
■ このスレッドは過去ログ倉庫に格納されています
0535Socket774
垢版 |
2017/10/02(月) 16:46:44.44ID:nDLS7KnC
>>534
お前らと一緒にすんなカス
って言われると思うぜ
何一つ残ってないんだから
0536Socket774
垢版 |
2017/10/02(月) 18:59:02.13ID:ZsCygqo2
>>535
日本に対する過剰反応で韓国をノーマークにしていたツケが来ている所も日本企業っぽい
0537Socket774
垢版 |
2017/10/02(月) 21:04:46.05ID:j3IYPMTG
intelを育てたのは日本人だからなw
日本人がIntel 4004開発しなければ今のintelは無かった
0538Socket774
垢版 |
2017/10/02(月) 21:24:57.10ID:ZJlxf6lj
                 __
              / ̄   \
             |        ::|
              |     淫   ::::|
               |        ::::|
.              |.    厨  ::::::|
             |        ::::::::|
              |    之   :::::|
              |        :::::::|
               | .     墓゙ ::::::::|
.               |       ::::::::::|
              |  ∬      ∬:::|
               |  ii ,,≦≧、 :ii :::::|
            _ |  旦‖===‖旦::::::| _
  ---W-----┘二二二二二二二二二└--ff---\--
0539Socket774
垢版 |
2017/10/02(月) 22:45:36.39ID:vpcanYl5
Geminilake出たの?
0540Socket774
垢版 |
2017/10/03(火) 01:36:10.98ID:LEAmYfhz
>>536
じゃぁそのうちヤバ目の粉飾とか出て潰れるな
0541Socket774
垢版 |
2017/10/03(火) 01:45:24.76ID:IsdTKYVQ
>>540
お前どうしても日本企業を腐さないと死ぬ病気かなんかなの?
0542Socket774
垢版 |
2017/10/03(火) 02:02:04.03ID:saZb5Tl2
>>541
ここ最近のグダグダから言って、似てるとくればそういう連想になるでしょ
0543Socket774
垢版 |
2017/10/03(火) 02:41:16.55ID:ROsnV/Nl
一部と全体の区別のつかない人か
0544Socket774
垢版 |
2017/10/03(火) 02:43:55.30ID:LEAmYfhz
>>543
だけどそう言う印象を与える事は事実だろう?

まぁ独禁法も外れたようだし、ジョークの域だな
0545Socket774
垢版 |
2017/10/03(火) 02:46:44.71ID:ROsnV/Nl
犯罪のニュースばっかり見てると犯罪が増えたように錯覚するのと同じ
0546Socket774
垢版 |
2017/10/03(火) 04:06:38.04ID:CRvYOZMZ
無線充電はですね
0547Socket774
垢版 |
2017/10/03(火) 07:23:57.83ID:92asZMCX
            / ̄ ̄\
          /   _ノ  \
          |    ( ●)(●)
          |     (__人__)
             |     ` ⌒´ノ インテルCPUは環境に優しいグリス100%です!
              |         }
              ヽ        }
            ヽ、.,__ __ノ
   _, 、 -― ''"::l:::::::\ー-..,ノ,、.゙,i 、
  /;;;;;;::゙:':、::::::::::::|_:::;、>、_ l|||||゙!:゙、-、_
 丿;;;;;;;;;;;:::::i::::::::::::::/:::::::\゙'' ゙||i l\>::::゙'ー、
. i;;;;;;;;;;;;;;;;;;;;;;|::::::::::::::\::::::::::\ .||||i|::::ヽ::::::|:::!
/;;;;;;;;;;;;;;;;;;; ;![インテル ]\::::::ヽ|||||:::::/::::::::i:::|
;;;;;;;;;;;;;;;;;;;;;;;;;;|;;;;:::::::::::::::::::::::\:::::゙、|||:::/::::::::::|:::
LGA3647・・・グリス ready!
https://twitter.com/momomo_us/status/896530606321946625
LGA2066・・・グリス ready!
https://www.techpowerup.com/img/17-05-30/db752c76f7de.jpg
LGA1151・・・グリス already!
0549Socket774
垢版 |
2017/10/03(火) 17:52:34.22ID:aKJy4Q2N
reservedのところに電源グランドピンを割り振っただけでぶっ壊れるような変更はないのだろう
0553Socket774
垢版 |
2017/10/05(木) 18:11:56.63ID:Ue3qX4pp
AtomはAtomでいいのに
0554Socket774
垢版 |
2017/10/05(木) 21:22:22.69ID:0xnN8VFR
>>551
Myriad 2 VPUならDJI Sparkにすでに搭載されてる
0555Socket774
垢版 |
2017/10/07(土) 10:48:10.81ID:teRYx9sm
Intelの開発遅延が凄まじい。その原因である10nmが来年本当に立ち上がるのか実は確証はないw

計画 現実
2006 Core
2007 45nm
2008 Nehalem
2009 32nm ×
2010 Sandy Bridge 32nm
2011 22nm Sandy Bridge
2012 Haswell 22nm
2013 14nm Haswell
2014 Skylake 14nm
2015 10nm Skylake
2016 Sapphire Rapids ×
2017 7nm ×
2018 new arch 10nm
2019 5nm ×
2020 new arch ×
2021 3nm Sapphire Rapids
0556Socket774
垢版 |
2017/10/07(土) 11:08:15.63ID:l8Lof2am
他社はIntelの14nm相当である12nmを来年ようやく立ち上げるみたいだけど
Intelの遅延が凄まじいのならIntelより3年以上も遅れている他社はなんて表現したらいいのでしょうか
0557Socket774
垢版 |
2017/10/07(土) 11:35:36.53ID:s9DHE9Sj
来年に立ち上がる7nmも気にした方がいいよ
0558Socket774
垢版 |
2017/10/07(土) 12:20:45.35ID:ki7LHvYZ
気にする必要があるのはサムスンぐらいで他は全然Intelに追いつけない
0559Socket774
垢版 |
2017/10/07(土) 12:54:40.99ID:Ja7lBc2r
Samsungの10nmはIntelの14nmよりも集積度高いらしいし多少はね
TSMCとGloFoは7nmが順調に立ち上がればIntelの10nmと並ぶんじゃないの 順調ならだけど
0560Socket774
垢版 |
2017/10/07(土) 12:58:04.27ID:oqMXfQTC
ニコンが先端ステッパ事業から撤退したのは大きい
先端ステッパはASML1社独占、どこもASMLに左右される

キャノンのナノインプリンティングが立ち上がるかどうかはわからない
0561Socket774
垢版 |
2017/10/07(土) 13:05:26.05ID:oqMXfQTC
2018年に7nm生産っていうのは、ASMLのEUVステッパの出荷予定時期じゃないの?

ダイコスト・ビットコストが既存の14nmよりかなり高くなる7nmになって、
当分ビットコスト削減は無理じゃないのかな
0562Socket774
垢版 |
2017/10/07(土) 14:05:09.44ID:s9DHE9Sj
Samsung
8LPP
 - 非EUV
 - 10LPPの改良版
 - 2017年量産
7LPP
 - EUV
 - 2018年(前半?)量産

TSMC
N7
 - 非EUV
 - すでにリスク生産を開始してるはず
 - 2018年量産
N7+
 - EUV
 - 2019年量産

GF
7LP Gen1
 - 非EUV
 - 2018年前半リスク生産、後半量産(?)
 - EUV版と設計互換?
7LP Gen2
 - EUV
 - 2019年量産?
0563Socket774
垢版 |
2017/10/07(土) 14:58:09.73ID:PNddTj7i
今後一気に差が詰まるかもな。EUV待ちの間に研究が進んで、EUVが採算ラインで使えるようになればヨーイドンで量産が始まりそうだし
その次の世代も開発スパンが長くなって一世代も差がつかなくなるかもしれない。
0564Socket774
垢版 |
2017/10/07(土) 15:00:01.10ID:BJo2WAcA
>>562
そんな都合のいいロードマップを信じてる奴いるんだ
0566Socket774
垢版 |
2017/10/07(土) 15:26:47.48ID:s9DHE9Sj
>>564
信じないのは勝手だけど、来年のiPhoneは7nmチップと言われてるし、
他にも多数のテープアウトを受け付けたとTSMCは言ってる
TSMCの7nm量産は来年第1四半期という話

EUVに関してもついに光源出力が量産可能ラインまで到達した
ASMLは新規EUV露光装置や既存装置アップグレードのバックオーダーを多数抱えている

EUVが実現間近か、250Wの光源を達成 (1/2)
http://eetimes.jp/ee/articles/1707/20/news031.html
0567Socket774
垢版 |
2017/10/07(土) 22:31:11.40ID:pjXJf0hl
               _,..----、_
              / ,r ̄\!!;へ
             /〃/   、  , ;i
             i,__ i ‐=・ァj,ir=・゙)
             lk i.l  /',!゙i\ i  あえて言おう!インテルはカスであると!!
             ゙iヾ,.   ,..-ニ_ /
             Y ト、  ト-:=┘i
              l ! \__j'.l
              」-ゝr―‐==;十i      _,r--――、
             .ト、.j.!レ' ̄三! >ーr‐r‐r‐<  _,.r<"「 l_____
     ____,..r--r=ヾヽj,r―'"≦__ ̄ ̄r―'"\\ \r",.-、, \
    ∧   ト-'‐'"三へ>ト-‐'"~    ゙i  /       \\(_.人 ヽ._ ヽ
    レ'へ._ノi 「 \ ゙l //./",「 ̄/ / /       ヽ-ゝ. \   /
    レ'// .l l   ! ! i/./ ./  /  / /         ,(  \  ノハ
    レ'/  .! !   i ゙'!  ̄ ∠,  /  ヽ._        ,ター  '",〈 !
   /゙" ,r'" .l‐=ニ゙,「l ! 「 ̄!. /./   ー=='       .l.ト、. -‐'"/!.ト,
  /   .ト-  ゙ー―┘!└‐'='-‐"   ヽ._/   、     トミ、 ̄ ̄._ノノli\
0568Socket774
垢版 |
2017/10/08(日) 01:07:52.39ID:hG9tVWI+
>>562
10LPPの量産開始が2017年後半
8LPPは10LPPのオプティカルシュリンクでリスク生産が2017年後半予定
7LPPはEUVを用いて2018年後半にリスク生産予定

N7のリスク生産は4月から始めたってサイトに書いてる
0570Socket774
垢版 |
2017/10/08(日) 01:44:03.25ID:hG9tVWI+
Samsungが突如発表した11nm、8nm、6nm、5nm、4nm
これらの実効的な集積度は気になるところ

Samsungの10nmを用いたSnapdragon835は30億トランジスタで72.3mm2、
TSMCのAppleA11が43億トランジスタで87.66mm2で差がある

Samsungの14nmの縮小版の11nmが10%の縮小と発表されてることを考えると
8nmは10nmの光学シュリンクでしかないのでマーケティングネームとは裏腹に
密度でTSMCの10nmを上回っているのかすら怪しい
0573Socket774
垢版 |
2017/10/08(日) 02:23:53.11ID:hG9tVWI+
時間の問題というかintelは10nmを早く出さないと置いていかれる、っていう形
0574Socket774
垢版 |
2017/10/08(日) 18:12:09.28ID:cst1HiuN
>>566
いつも言うだけ番長だからなTSMCは
0575Socket774
垢版 |
2017/10/08(日) 18:13:26.49ID:cst1HiuN
>>572
それ他社の5nmはIntelの7nm相当でしかないって意味なんだけどw
0576Socket774
垢版 |
2017/10/08(日) 18:33:41.32ID:vOrHkqAj
TSMCはまだましなほうでしょ?
本当に言うだけ番長はGFのほう
0577Socket774
垢版 |
2017/10/08(日) 19:10:16.99ID:171Fjngw
で、Intelの10nmは何年遅れてるんでしたっけ?
0578Socket774
垢版 |
2017/10/08(日) 20:20:17.64ID:9hxP0Wm1
プロセス移行とトライゲート導入が同時だったから性能が大きく伸びただけで
微細化だけしても性能があまり上がらないのに?nmの数字だけ見て遅れてるって言ってないか
0579Socket774
垢版 |
2017/10/08(日) 20:30:26.18ID:jrXbhNsB
     2016  2017  2018

当初 Cannonlake

旧プラン   Cannon Lake
       (モバイルのみ)

現在         Cannon Lake-Y
           (5.2W版のみ)
0580Socket774
垢版 |
2017/10/08(日) 21:04:15.47ID:uxeOB7BE
サムスン
 07nm LPP 2018H2? EUV
 06nm LPP 2019?
 05nm LPP 2019?
 04nm LPP 2020? MBCFET(Gate All Around)

 18nm FDS 2019? FD-SOI
ttp://news.mynavi.jp/articles/2017/09/29/samsung_7nm/index.html

GFの提携はずっと続くのだろうか
0581Socket774
垢版 |
2017/10/08(日) 23:37:57.50ID:171Fjngw
14nmFinFETが難産だったIntel
「14nmでは苦しんだが、10nmは14nmで培った技術を用いて問題なく移行できる見込みである。
また、他社も微細化を進める過程で我々が直面した問題で苦しむことになるだろう。」

結果、10nmも難産続きのIntelと、それとは対照的に、FinFETの導入こそ遅れをとったものの、小刻みな改良、微細化でIntelをキャッチアップ、ついには追い越す勢いのファウンドリ各社

どうしてこうなった
0582Socket774
垢版 |
2017/10/09(月) 00:30:02.79ID:6HJnZ10G
走ってIntelを追いかけることが出来なくて匍匐前進で小刻みにしか進めなくなったってだけの話
それをどう曲解すれば「追い越す勢いのファウンドリ各社」とドヤ顔出来るのか理解不能
0583Socket774
垢版 |
2017/10/09(月) 01:10:07.30ID:f5jN8ei+
>>580
Samsungの発表はあくまでproductionで
7LPPもinitial productionと書いてるので最初のリスク生産のことだよ
https://news.samsung.com/global/samsung-strengthens-advanced-foundry-portfolio-with-new-11nm-lpp-and-7nm-lpp-with-euv-technology

リスク生産から量産までの期間は
10LPEが16Q1リスク生産→Q4量産
絶縁材料を変えた10LPPが16Q4リスク生産→17Q4量産予定
http://n.mynv.jp/articles/2016/11/24/techcon2016_samsung/images/006l.jpg

と、およそ9ヶ月程度は掛かるので

8LPPが17Q4リスク生産予定→18Q3〜Q4量産
7LPPが18H2リスク生産予定→19中〜後半量産

ということ
0584Socket774
垢版 |
2017/10/09(月) 01:40:00.12ID:W65w/JT/
>>582
Intelの10nmよりファウンドリの7nmの方が小さいじゃん
0585Socket774
垢版 |
2017/10/09(月) 01:50:28.45ID:JcxA33fX
少なくともCannon Lakeが発売されるまではファウンドリ各社の10nmのほうが進んでるのでは?

で、そのCannon LakeがYプロセッサというプレミアラインのみに限定出荷する来年秋頃にファウンドリは7nmでA12やSnapdragon 845を量産する計画

ちなみにIntelは未だ10nm製品の出荷に見通しが立たず、7nmへのロードマップが示せてない。対するファウンドリは7nmのリスク生産に入っていて、4nmまでロードマップを掲げられている
0586Socket774
垢版 |
2017/10/09(月) 01:53:46.28ID:6HJnZ10G
>>584
そりゃファウンドリの7nmがIntelの10nmよりデカかったら明確な詐欺ですわなw
もっともIntelの7nmに対して他社の5nmは一体何なの?って言いたくなるけどw
0587Socket774
垢版 |
2017/10/09(月) 02:03:41.19ID:W65w/JT/
>>586
やっぱ追い越されてるじゃんw
Intelの7nmなんていつ出てくるかもわからないし
0588Socket774
垢版 |
2017/10/09(月) 02:13:48.71ID:HrC0ybmJ
ファウンドリの5nmがいつ出てくるかお前にだけはわかるらしいな
世界の誰もいつ出るかなんて断言出来ていない現状で
0589Socket774
垢版 |
2017/10/09(月) 02:46:12.48ID:W65w/JT/
>>588
TSMCは5nmのリスク生産を2019年半ばに開始すると言ってるね
それがIntelの7nmと同等のものかは知らないけど

IntelはTigerlakeまでは10nmでしょ
その次は新規のマイクロアーキテクチャであるSapphireRapidsで7nmか?という話だけど、
Intelがマイクロアーキテクチャの一新と微細化を同時に行うとは思えないんだよなあ
0590Socket774
垢版 |
2017/10/09(月) 02:48:32.21ID:f5jN8ei+
昔はプロセスフィーチャサイズを如何に小さく加工出来たかで競ってたが
学会での最小寸法と量産寸法が違ったりするから案外当てにならない
intelの14nmもCPPが70nmじゃなくて84nmに変わってたりするし
製品での集積度もRyzenなどファウンドリ製品と比べて高くない

FinFETで大きく変わったのはトランジスタ駆動性能をフィンのパラメータで稼ぐようになったこと
それによってセルハイトを積極的に縮小するようになりそれが集積度のキーファクターになった
現状で実際に高密度なチップを市場に送り出せているのはファウンドリ
0591Socket774
垢版 |
2017/10/09(月) 03:59:55.38ID:suwwfPYR
プロセスよりアーキテクチャだからなあ
Intelの真の14nm+のCPUがGFのエセ14nmのRyzenに苦戦しているし
0593Socket774
垢版 |
2017/10/09(月) 04:33:05.40ID:/Zfm2oq3
プロセスよりアーキテクチャより冷却材
0594Socket774
垢版 |
2017/10/09(月) 09:32:00.19ID:IHibpWR0
>>586
互換性が完全ではないプロセスに同じ名前を付けるほうが不自然
0595Socket774
垢版 |
2017/10/09(月) 09:38:49.70ID:B1B9JkWO
どっちが先に抜け出すかはともかく一旦は同じラインに立つんだろうな。それだけでも結構大きなニュースだわ。
0596Socket774
垢版 |
2017/10/09(月) 14:11:51.95ID:RPKFqijC
>>594
何話逸らして誤魔化してんだ
0597Socket774
垢版 |
2017/10/09(月) 14:21:03.17ID:zgWO0Mvi
>>587
「Intelの7nmなんていつ出てくるかもわからない」と言いつつなぜ「追い越されてる」と未来を断言出来ているのか全くもって理解不能
いつ出るのかわからないのであればファウンドリの5nmより先にIntelが7nmを出してもおかしくないだろう
願望と現実の区別がつかない病気の人かな
0598Socket774
垢版 |
2017/10/09(月) 17:05:41.82ID:DRtaOFHx
そもそも追い越しても、パフォーマンスで追い越せないので無意味
あいかわらず、データセンターのシェアは99%以上だし
0599Socket774
垢版 |
2017/10/09(月) 17:14:21.00ID:ynzZ+uaY
>>597
ファウンドリの5nmよりIntelの7nmの方が先でも出すまでの間は追い抜かれてることになるんですが。
0600Socket774
垢版 |
2017/10/09(月) 18:09:16.24ID:heKh8JLj
そのうちスパコンガースパコンガー連呼し始めるんだろw
0601Socket774
垢版 |
2017/10/09(月) 21:05:12.82ID:W65w/JT/
>>597
Intelの10nmとファウンドリの7nmの話なんだが?
そもそもIntelの10nmは遅延を重ねたせいでファウンドリの10nmにすでに追い抜かれてるのが現実だがな
0602Socket774
垢版 |
2017/10/09(月) 21:15:38.59ID:3E0Xo4ZN
>>600
そら実際HPC、DCの方が成長市場になってますし
0603Socket774
垢版 |
2017/10/09(月) 21:53:43.45ID:ynzZ+uaY
問題はまだ工場を維持できるだけの量ではないって事だな。
0605Socket774
垢版 |
2017/10/09(月) 23:17:40.42ID:T7n2H5yH
プロセスルールで競い合うとか本当くだらねーな…
製造機器の問題でしかねえのに
0606Socket774
垢版 |
2017/10/09(月) 23:46:23.31ID:W65w/JT/
Intel readies Coffee Lake lineup
http://www.digitimes.com/news/a20171006PD208.html

Intel's next-generation 10nm Cannon Lake processors are expected
to make their debut in June or July 2018 with Cannon Lake-Y series
to be released initially. Intel's 10nm Ice Lake will then be unveiled in 2019

Commenting on the news, Intel said that it will be shipping its first 10nm
products near the end of the year beginning with a lower volume SKU
followed by a volume ramp in the first half of 2018.

笠原の情報と変わらない感じ
0607Socket774
垢版 |
2017/10/10(火) 00:04:26.31ID:XJc5UzW+
来年はCoffee Lake Refresh + Cannon Lake-PCH、よくてCannon Lake-Yの限定出荷ぐらいか
0608Socket774
垢版 |
2017/10/10(火) 00:26:22.32ID:JQdASzKC
7nmまでは各社ロードマップ出しててほとんどEUV待ちで、その先は研究中で足並み大体そろってるからねぇ。
0609Socket774
垢版 |
2017/10/10(火) 04:01:45.80ID:EnjHy3vP
>>606
Cannonlakeが2018年6月または7月でIce Lakeが2019年だと?
ありえんな…
0610Socket774
垢版 |
2017/10/10(火) 07:02:52.95ID:CUhXwFyo
intelの子会社であるmobileyeのeyeq5は皮肉にもGLOBALFOUNDRIESの7nmラインを使用して2018年上期にサンプル出荷される
GLOBALFOUNDRIES 7nm初期の最大の顧客はintelのようだwww
0611Socket774
垢版 |
2017/10/10(火) 07:18:24.81ID:CUhXwFyo
intelがmobileye社を買収する時にeyeqチップをinte lfabでの製造を打診したがmobileye社側は
全く興味をしめさなっかという。mobileye社が一番恐れたことはintelの子会社化によってeyeqチップに
時代錯誤の非効率なx86アーキーテクチャーを無理やり組み込まれることだったという。
0612Socket774
垢版 |
2017/10/10(火) 07:18:40.90ID:PArMgv1B
Intelはオワタ
0613Socket774
垢版 |
2017/10/10(火) 08:26:46.35ID:qY5dMlnn
mobileeyeのソースどこ?
0614Socket774
垢版 |
2017/10/10(火) 09:10:34.06ID:YDq3iFsh
サフィアラビットはサーバのXEON用が先行して2020年にデビューする。デスクトップ用は2020年に10nm++の虎湖が出て、サフィア世代に移行するのは翌年らしい。
0615Socket774
垢版 |
2017/10/10(火) 09:11:57.35ID:YDq3iFsh
>>609
現状のスケジュール(キャノンレイク遅延)から行ったら、アイスレイクは2018年中に出せない。
0616Socket774
垢版 |
2017/10/10(火) 09:24:12.68ID:mSS2VxG2
>>608
そのEUVはIntelが一番乗りで導入しそうな空気があるな
ASMLの新型EUV露光装置は受注した21台の大半がIntel用のようだし(旧型は全世界で14台あるが開発・検証用で量産には使われてない)

ただ近年のIntelを見ると仮に導入は最速だとしても難産になりそうではある
他社は7nm+(Intel10nm以上7nm未満相当)からの導入だが、Intelはいきなり7nm(他社5nm相当)だから時間掛かりそう
Intel自身もハイパースケーリングと称してプロセス縮小に以前より時間を掛ける事は認めてるから
0617Socket774
垢版 |
2017/10/10(火) 09:33:13.35ID:QW2IuesA
いきなりEUVなのはSamsung
Intelは最初の7nmは液浸ArFを使うことも匂わせてるらしい(後藤記事によれば)
どちらにせよIntel7nmプロセスの登場時期を考えるとEUVの導入はIntelが4社の中で一番遅いでしょ
0618Socket774
垢版 |
2017/10/10(火) 09:42:46.76ID:QW2IuesA
ハイパースケーリングに関してはTSMCの人に以下のように突っ込まれてる
くらいだし、数値に意味があるのかよく分からん
Intelお得意のマーケティング用語感満載

http://eetimes.jp/ee/articles/1704/04/news040_2.html
同担当者はさらに「とはいえ、Intelがどのような計算でトランジスタ密度を算出した
のかは分からない。例えばIntelの『Broadwell』(第1世代の14nm CPU)は、
1mm2当たり1840万個のトランジスタを搭載していたが、新たな算出法では、
その数値は突如として3750万個になる。一体どういうことなのだろうか」と述べた。
0619Socket774
垢版 |
2017/10/10(火) 13:36:33.91ID:xnqlo/Bk
Intel、パナソニックの14nm SoCを製造 (2014/7/8 11:39)

このリリース出てもう3年たってたのか
Infineon系譜の無線チップセット関連は以前としてTSMCのままっぽいし
どーなってんだか
0620Socket774
垢版 |
2017/10/10(火) 14:59:40.34ID:egHLQRTw
XMM7560はIntelの14nmだよ。
パナソニックのはキャンセルされたけど。
0621Socket774
垢版 |
2017/10/10(火) 18:03:07.99ID:CUhXwFyo
XMM7560の次のモデルも14nmで製造予定、intelはQualcommに対して実質2世代遅れているからappleも大変だ
x16相当のXMM7560の出荷はどうせ来年の半ば過ぎだろうしx20の対抗品のXMM7660はその一年後となる
G5モデムで何とか遅れを取り戻さないとまた永遠の周回遅れ地獄に陥る可能性が高い
0622Socket774
垢版 |
2017/10/10(火) 18:30:11.43ID:CUhXwFyo
appleはここへきてGPUだけでなくmodemにも色気を出して技術者を集めている、実際彼らが自社製modemを
開発するかは不明であるが仮にmodemの開発に成功(と言っても遠い未来)すればintelは唯一の顧客を失うことになる
0623Socket774
垢版 |
2017/10/10(火) 19:53:52.75ID:baoIiBMv
>MediaTekが初めてMIPSを採用したデバイスは、新たな主力製品となる
>「MT6799 Helio X30」というプロセッサである。MIPSはこのプロセッサの
>Cat-10(カテゴリー10)対応LTEモデムに用いられている。
ttp://eetimes.jp/ee/articles/1710/10/news051.html

Intel XMMってアーキテクチャは何かな
0624Socket774
垢版 |
2017/10/10(火) 19:55:46.51ID:5rc6PL/U
StrongARMの再利用とかじゃねーの
0625Socket774
垢版 |
2017/10/11(水) 06:37:47.11ID:3mKjZQFH
少なくともXMM 7260、XMM 7160はMIPSだな
0627Socket774
垢版 |
2017/10/11(水) 21:45:47.46ID:msi0dvTe
爆熱coffeelakeを切っ掛けにBTXが復活する可能性はありますか?
0628Socket774
垢版 |
2017/10/11(水) 22:00:02.73ID:3sM3cNsA
505 名前:Socket774[sage] 投稿日:2017/10/11(水) 21:05:10.07 ID:UObia23U
3DSエミュ Citra 
PS3エミュ RPC3

最新エミュレーターではAVX2の有無(Haswell以降)が絶対的な性能の差になっている
シングルコアの性能が重要だからRyzenでは話にならない

団子よ、エミュレータってデータ並列処理可能なの?
0629Socket774
垢版 |
2017/10/11(水) 22:39:32.52ID:IHr6D7z7
あのクソコテはそんな事は知らんぞ
来なくなってすごい快適なんだから名前を出すなよバカ
0630Socket774
垢版 |
2017/10/11(水) 23:40:22.90ID:cEQM3NAr
データ並列エミュってちょっとよくわかない
素直にそのソフトを並列処理した方がいいのでは
0631Socket774
垢版 |
2017/10/12(木) 00:12:14.45ID:WJWxvXpg
今団子はデルオミネオとして大暴れしとる
0632Socket774
垢版 |
2017/10/12(木) 00:27:06.84ID:HD/56XCB
量子コンピュータにもインテル入ってる。
0633Socket774
垢版 |
2017/10/12(木) 06:30:20.45ID:D/XLUqDj
>>631
DELL男スレのオサムの正体は団子
0634Socket774
垢版 |
2017/10/12(木) 08:56:37.18ID:2K3Ezmsq
>>632
それが俺らの買える値段にならないなら意味ないんだよなぁ
0635Socket774
垢版 |
2017/10/12(木) 09:48:11.79ID:KQT6Wi2G
>>599
たらればなら小学生でも語れる

>>601
Intelの10nmとファウンドリの自称10nmを同列に語ってる時点で情弱ぶりが窺える
■ このスレッドは過去ログ倉庫に格納されています