X



【半導体】サムスン、EUVリソグラフィによる7nmチップの製造を開始
■ このスレッドは過去ログ倉庫に格納されています
0001田杉山脈 ★
垢版 |
2018/10/19(金) 19:56:33.05ID:CAP_USER
サムスンの新しいチップ製造法が実用化の段階に入った。今後スマートフォンや機器の高速化や省エネ化に役立つだろう。

 サムスンは韓国で現地時間10月18日、極端紫外線(EUV) リソグラフィを利用するLPP(Low Power Plus)プロセスでの7nm(ナノメートル)チップの製造を開始したと発表した。この技術は何十年も前から開発されていたが、これまで製造現場で用いられことがなかった。

 サムスンの7nm LPP EUVプロセスは、Qualcommの次世代「Snapdragon」5Gモバイルチップセットの製造に利用される予定だ。両社は2018年、華城工場「S3 Fab」での7nmチップ生産に向けて、60億ドル(約6740億円)の新しいEUVラインの建設をサムスンが開始した時に、この事実を認めた。

サムスンはこの製造プロセスについて、5G通信や人工知能(AI)、ハイパースケールデータセンター、モノのインターネット(IoT)、自動車、ネットワーク用のチップ製造に利用できると述べている。

 サムスンによると、顧客にとってこの方法でウエハを製造する大きなメリットは、より低コストなチップ製造プロセスで新製品をさらに迅速に発売できることだという。

 製造の迅速化は、シリコンウエハの製造パターンにEUVリソグラフィを用いることで可能になる。

 EUVは、13.5nmの波長の光を発することができ、193nmの波長にしか達しない従来のフッ化アルゴン液浸技術に必要な複数回の露光ではなく、1回の露光でウエハ層を製造できる。

 サムスンが「7LPP」と呼ぶ7nm製造技術により、10nmプロセスと比べて、面積効率が最大40%アップするほか、性能が20%向上し、消費電力が50%減少する。

 サムスンは、次世代設計のチップの大量生産が必要な顧客向けに、2020年までに新しいEUVラインを利用できるようにしたいと考えている。
https://japan.cnet.com/article/35127265/
0002名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:00:42.12ID:CPLQF/A3
2ならサムチヨン倒産!
0004名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:00:56.47ID:UvCl/FtP
うおおおお、7nmとかIntelもまだ出来てないのに韓国兄さんすげええ
0005名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:03:39.10ID:LaFvaP7Y
サムスンが凄いんやないasmlがすごいんや
0009名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:11:30.65ID:00c24za5
>>6
技術的にムリ
0012名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:13:43.08ID:4jERLktW
因みににASMLの特殊な部品に日本製が使われてる
0013名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:15:02.36ID:qTVG3LBi
昔は○○も作れない国に日本が負けてるわけがないとよく言われてた韓国
次第に品質で劣る国だから負けるわけがないと言われるようになり
そのうち○○の製品はパーツはうちの国のを使ってると言うようになった
ところが最近では「パーツを作る機械」がうちの国の物だから〜
5年後はどういう文言になってるのか、不安で仕方がない

このコピペ思い出したわ
0014名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:18:56.98ID:qTVG3LBi
ちなみに来年AMDから出る無印7nmのZen2は神と言われてる
そして再来年でるEUV7nmのZen3は超神
intelをボコボコにしてほしい
0015名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:20:10.56ID:nWbi2Hto
25nmが物理的限界とか言ってたのも随分前か
0016名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:20:41.77ID:X9Psoae2
9nmニダ
0017名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:25:49.19ID:q7IZyfDE
>>3
ニコ爺涙目だなw
0018名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:29:55.52ID:k3UztfO1
キヤノンがんばれ
0019名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:33:46.01ID:q5gXXcPU
TSMCは5nmプロセスの先行生産を2019年4月にも行う予定
https://gigazine.net/news/20181010-tsmc-5nm-risk-production/
 TSMC: First 7nm EUV Chips Taped Out, 5nm Risk Production in Q2 2019
 https://www.anandtech.com/show/13445/tsmc-first-7nm-euv-chips-taped-out-5nm-risk-in-q2

インテルはどうしてしまったん・・・・

Intel、年内に14nm製造設備へ10億ドルを追加投資
https://pc.watch.impress.co.jp/docs/news/1146090.html
0020名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:47:05.57ID:az+l2q22
お前らの心の拠り所の日本から盗んだ技術はとっくに卒業して新技術か
科学技術って怖いな
0021名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:49:14.10ID:W3hewnrx
>>19
そもそもプロセスルールとして発表する数字自体が企業間でルールがない「自称」数値で、
インテルの、14nmは他社で言う10nmかもう少し小さいくらいに相当する
0022名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:49:14.88ID:qYCwKfop
インテルはEUVは21年以降らしいな。微細化競争はTSMC vs Samsungが頂上決戦というまさかのサプライズ。
0023名刺は切らしておりまして
垢版 |
2018/10/19(金) 20:55:25.05ID:/TiYqdLK
 
 <*`∀´> 原爆は戦犯国日本への天罰ニダ!
 
崇高偉大なる「世界13大経済強国」の大韓民国さまが
 哀れな戦犯国の日本と【通貨スワップ】を結んでやるから
日本人は土下座して、全力でお願いに来るニダ〜!
 
日本人が土下座して【通貨スワップ】をお願いするなら
 崇高偉大なる大韓民国さまにも慈悲の心はあるから
【通貨スワップ】を結んでやらないこともないニダ!
 
今にも崩壊しそうな日本経済を、崇高偉大なる大韓民国さまが
 【通貨スワップ】で助けてやるニダ! 感謝するニダ!
日本人全員が土下座して【通貨スワップ】お願いするニダ!
 
日本人は、崇高偉大なる大韓民国さまとの【通貨スワップ】に
 1000年たっても、ありがたく感謝し続けるニダ!
日本人は肝に銘じて、感謝の心を子々孫々に伝えていくニダ!
 
https://blogimg.goo.ne.jp/user_image/7e/aa/3cd4ff77cd2a2f91403cb2da7d7c7336.jpg
 
【祝】台風と地震で日本人がいっぱい死んで超うれしいニダ〜!  
  <ヽ`∀´>〜♪ 崇高偉大なる大韓民国さまは、国を挙げて
  日本の地震・豪雨・猛暑・台風を【お祝います】ニダ〜!【祝】
 
<ヽ`∀´>「今日もお酒が美味しいニダ! ホルホル〜♪」  
  <ヽ`∀´>「日本人もっともっと死ねばいいニダ〜!」
    <ヽ`∀´>「戦犯国の日本なんか沈没すればいいニダ〜!」  
      <ヽ`∀´>「日本人の不幸だけが生き甲斐ニダ〜!」
        <ヽ`∀´>「新聞は朝日新聞が一番ニダ〜!」
 
<ヽ`∀´>「在日韓国人さまに選挙権を与えるニダ〜!」
  <ヽ`∀´>「日本人は選挙で立憲民主党に投票するニダ〜!」
    <ヽ`∀´>「在日韓国人さまへの生活保護を増額するニダ〜!」 
      <ヽ`∀´>「日本と通貨スワップを結んでやるから急ぐニダ〜!」
        <ヽ`∀´>「日本人は永久に謝罪と賠償を続けるニダ〜!」 
 
0025名刺は切らしておりまして
垢版 |
2018/10/19(金) 21:06:21.18ID:qTVG3LBi
>>24
これどう?
1980年代:日本の製品は世界一!
1990年代:価格はともかく品質は日本が世界一!
2000年代:ハイエンドなら日本が世界一!
2010年代前半:製品に使われている部品は日本のものが多い!
2010年代後半:あの製品やあの技術は日本が発祥!
0028名刺は切らしておりまして
垢版 |
2018/10/19(金) 21:27:07.42ID:unZGyYgu
サムスン株価下がってきたね。
大丈夫かな。
0029名刺は切らしておりまして
垢版 |
2018/10/19(金) 21:27:54.10ID:unZGyYgu
サムスン製品の応援買いしたほうがいいかな。
0030名刺は切らしておりまして
垢版 |
2018/10/19(金) 21:39:20.69ID:O3iv9Ofi
※ LPP(Low Power Plus)プロセスでの7nm(ナノメートル)チップの製造を開始したと発表した。

訳のわからん書きっぷりをしているところから判断すると、大量生産に成功したという意味ではないよな。
出荷が出来るのは結局インテルの後なんだろ?
0031名刺は切らしておりまして
垢版 |
2018/10/19(金) 22:03:34.10ID:ojic2OcZ
韓国は泥棒国家
人を騙したり 盗んだりしたら賞賛される国 
もう騙されるな もう盗られるな
サムソンは東芝の半導体の下請けをし技術を盗み世界一の半導体メーカに出世
盗まれた東芝は実質倒産
サムソンは日本の家電メーカの社員を雇い技術を盗み売国奴は用済みで首
日本の家電メーカを潰してやったと自慢

サムスンのやり口 東芝の社長を自社工場に招待し
遠慮するのに強引に工場内の全てを見せる
後日、先日のお返しに東芝の工場見学を言ってくる
渋々OKすると、社長の他に技術者も連れて来てレイアウトなど
工場の全てをパクる 他の企業も同じ
0032名刺は切らしておりまして
垢版 |
2018/10/19(金) 22:14:49.12ID:Gi66CO9x
ついにEUV露光が実用化か!
個人的には大ニュースだ
0036名刺は切らしておりまして
垢版 |
2018/10/20(土) 00:07:24.47ID:uB945hsh
装置というならオランダのASMLが最大の功労者だろうな。
日本勢は露光装置からは実質的に撤退してる
0037名刺は切らしておりまして
垢版 |
2018/10/20(土) 00:10:48.03ID:ml4hb2iM
あの。7nmって、シリコン原子が何個並んでいる状態か知ってる?
たぶん70個ならんでないよ。

半導体の動作原理って知ってる?
シリコン原子にごく微量のリンやホウ素を混ぜることで、p型半導体とn型半導体が出来て、
それらを至近距離で接合することでスイッチングができる半導体ができる。

核エネルギーが半導体の動作を狂わせる原理、知ってる?
半導体に含まれる元素の電子や陽子・中性子は、核エネルギーにより、元素の外に弾き飛ばされる。
つまり、その元素は本来の元素の意味をなさない別物になってしまう。
元素が大量にあれば、全体としての半導体の動作にあまり影響を及ぼさないけど、
元素が少なくなればなるほどその半導体の挙動は本来の役目を果たせなくなる。

何を言いたいかというと、7nmのプロセスルールの半導体は、ちょっとした核エネルギーの存在で、
動作不良を起こすであろう、ということ。
福島でちゃんと動いていたスマホが、ソウル市街で動作不良を起こした、というのは笑い話にしかならない
0038名刺は切らしておりまして
垢版 |
2018/10/20(土) 00:24:03.81ID:XpmPWtXz
>>7
いや、ステッパーはあくまで露光するだけだからな・・・
半導体の製造設備は他にも様々な装置で成り立ってるわけでね。

歩留まりを上げるためにはむしろ高精度な成膜やエッチングこそ重要なんだよ。
ウェハーが大きくなるほど中心部と外周部で生成される膜厚、エッチングされる膜厚に差が生まれるから、
製造コストをどこまで下げられるかはむしろこれらのマシンの精度が大切なのよね(;^_^A
あとはストレスマイグレーション。各工程で熱が加わることでウェハーが反って断線する。
0039名刺は切らしておりまして
垢版 |
2018/10/20(土) 00:50:57.34ID:ZFg9byYK
ネトウヨみっともねぇな
0040名刺は切らしておりまして
垢版 |
2018/10/20(土) 01:15:06.12ID:PxLLKNZi
サムスンは資金と要望出すだけで実際に製造装置作ってるのはオランダのASMLじゃん
0043名刺は切らしておりまして
垢版 |
2018/10/20(土) 01:55:03.64ID:WxIs9TOa
製造装置は日本製。
0044名刺は切らしておりまして
垢版 |
2018/10/20(土) 02:11:27.50ID:XG/5K+aJ
7nmの次はどうすんだろ。次の技術なんてないでしょうに
0045名刺は切らしておりまして
垢版 |
2018/10/20(土) 02:12:25.05ID:Xb3ZqzuM
あげ
0046名刺は切らしておりまして
垢版 |
2018/10/20(土) 02:13:59.69ID:bxXI4tIS
>>44
ASMLが利益を独占するんだろ。
0048名刺は切らしておりまして
垢版 |
2018/10/20(土) 02:35:43.50ID:nsRLNuW7
>>44
微細化から垂直へという流れだな
これも製品化レベルではサムスン一強状態
0049名刺は切らしておりまして
垢版 |
2018/10/20(土) 03:07:14.68ID:iQdCZTX0
ふーん こういうのは半導体製造装置のメーカーだけに意味があり偉いのか

とすると10年以上前、00年代の半導体の世界売り上げを見ても、そもそもずっと1位インテル2位サムスンではあるが、その下の東芝だのルネサスだのNECだのにも全くたいした意味はない
もちろん設計オンリーのファブレスメーカーなんてものにはほぼ何の価値も無い 適当に仕様書作れば、製造装置メーカー様がそれを作る機械を作ってくれる、そこだけがすごいので

でその装置メーカーの00年代の順位は大よそ、1位アプライド・マテリアルズ 2位 東京エレクトロン 3位 ASMLだが、
この単独社員数が千人の東京エレクトロンだけが日本ではすごくて、よすがで、それ以外に日本の半導体、どうという意味もなかった


>必殺生産ライン請負人「平田機工」
生産設備を単体で製造・販売するのではなく、生産ラインを丸ごと請け負う。取引先リストには、国内はトヨタ自動車や日立製作所、クボタ、キヤノンなど

>自動車製造ラインの中国トップメーカー 江蘇天奇物流有限公司
同社は、日本の自動車メーカーのほとんどに生産ラインの自動搬送装置を提供しており、中国トップランクメーカーとしての技術力をうかがい知ることができます。


トヨタとか日立とかに何の価値がw こういうところに機械を注文してそれを工場に並べて作っているだけっ ネトウヨによると
0050名刺は切らしておりまして
垢版 |
2018/10/20(土) 03:32:26.09ID:OTiGNOnR
TSMCがすでに量産始めてるんじゃないの?
サムスンは
テストチップ出して来年量産開始じゃ一年遅れなんでない?
0052名刺は切らしておりまして
垢版 |
2018/10/20(土) 06:45:47.63ID:pHbjLXBP
>>21
昔のルールを厳密に適用したら
ムーア則なんてとっくに破綻してるらしいなw
0053名刺は切らしておりまして
垢版 |
2018/10/20(土) 08:09:39.77ID:e3uSLWf2
>>49
>>必殺生産ライン請負人「平田機工」

笑わせようとしてるのか?平田機工w
あそこには何度煮え湯飲まされてることか
0054名刺は切らしておりまして
垢版 |
2018/10/20(土) 10:17:41.70ID:O1TPh+gJ
FLASHが将来も安くなり続けるということはありがたいことだわ。
しかしリソグラフィ技術はとっくにX線にいってるかと思ったが意外に技術進化が遅いね。
0056名刺は切らしておりまして
垢版 |
2018/10/21(日) 11:53:51.76ID:bXmqMtFy
サムスン、最先端工場の起工式で珍事…超高額で購入の装置、使い物にならない可能性
https://biz-journal.jp/2018/03/post_22558_3.html

前述した通り、サムスン電子はアップルのビジネスをTSMCから奪還するべく、
どこよりも速くEUV露光装置を8台導入した。
しかし、そのEUV露光装置のペリクルは、「パイロット」フェーズであり、
スループットはせいぜい60枚程度しか出ない。
これで半導体を量産すると、原価が高騰し、価格競争力のない製品となってしまうだろう。
それなら、ArF液浸露光装置+マルチパターニングで微細化した方が“まし”である。

 
この現状では、TSMCからアップルのビジネスを奪還するのは困難だ。
どうやら、サムスン電子は勇み足をしたといえよう。

 
EUV露光装置を導入した最先端半導体工場の起工式で起きた珍事は、
サムスン電子のファンドリーの未来に暗雲が立ち込めている象徴であるように思えてならない。
0058名刺は切らしておりまして
垢版 |
2018/10/21(日) 12:21:57.89ID:dIOEI3HV
>>56
湯之上さんの記事か。
相変わらず半導体業界を批判させたら彼の右に出る者はいないな。
正論だし、TSMCも少し遅れてEUVで量産するわけだから2社とも死んで、勝者は当面のEUV投資を見送ったインテルやGF、あるいは中国勢やルネサスってことになるのかというと、そうではないだろう。
0062名刺は切らしておりまして
垢版 |
2018/10/21(日) 18:16:54.63ID:nVc1TCIm
コマツの子会社であるギガフォトンである。EUV光源の開発は、ASMLに買収された米サイマーとギガフォトンが競っていたが、とうとうギガフォトンがブレークスルーを生み出した。
0065名刺は切らしておりまして
垢版 |
2018/10/23(火) 05:05:30.21ID:UJYtYLZW
これは実生産を開始したって事であって、量産フェーズは20年を目指すって話だな。
0066名刺は切らしておりまして
垢版 |
2018/10/25(木) 08:00:14.55ID:63zwh46h
56の記事をみたけど凄い世界だな。技術的には未完成でリスクはあるけど先行投資を決断したんだろ。歩留りを上げるなどのノウハウでも先行できる可能性もあるしな。
0067名刺は切らしておりまして
垢版 |
2018/10/26(金) 00:08:56.50ID:siHBeimQ
スペック持ってるな

そのパワーアップレートはTSMC5nm+をこえる嘘しかも以前は「省エネ30%orクロックアップ10%」と言ってた
虚報
0068名刺は切らしておりまして
垢版 |
2018/10/29(月) 12:02:26.49ID:Q4bVLkX8
>>1
製造開始じゃないだろ。TSMCが7nmラインかどうしてとっくに生産して、5nm+テープアウトする段階なのに
まだ7nm+テープアウトしてないんだろ。論外
0070名刺は切らしておりまして
垢版 |
2018/11/09(金) 09:20:36.59ID:ggajQd0t
【日韓】 日本が報復?フッ化水素の輸出ストップ、韓国の半導体業界に緊張走る=韓国ネット「反日もほどほどにしないと」 [11/09]
http://lavender.2ch.net/test/read.cgi/news4plus/1541722157/
0071名刺は切らしておりまして
垢版 |
2018/11/09(金) 15:08:54.10ID:/loQ5+lH
● 在日コリアンは年々減る一方。特別永住者の10才未満は最多世代の1/5以下
http://img-cdn.jg.jugem.jp/c01/265151/20150923_1550294.jpg

● 韓国の出生率は世界最低。唯一の「出生率1人未満」の国になる
https://japanese.joins.com/article/j_article.php?aid=242948

● 韓国の山村は過疎化と高齢化で30年後に95%消滅する
https://www.asahi.com/articles/ASL232TYHL23UHBI00K.html
■ このスレッドは過去ログ倉庫に格納されています

ニューススポーツなんでも実況